PCS-2/vivado_pid14373.str
2023-12-12 16:08:43 +01:00

1100 lines
95 KiB
Plaintext

/*
AMD Vivado v2023.2 (64-bit) [Major: 2023, Minor: 2]
SW Build: 4029153 on Fri Oct 13 20:13:54 MDT 2023
IP Build: 4028589 on Sat Oct 14 00:45:43 MDT 2023
IP Build: 4028589 on Sat Oct 14 00:45:43 MDT 2023
Process ID (PID): 14373
License: Customer
Mode: GUI Mode
Current time: Tue Dec 12 14:49:05 CET 2023
Time zone: Central European Standard Time (Europe/Prague)
OS: NAME="EndeavourOS"
OS Version: 6.6.3-arch1-1
OS Architecture: amd64
Available processors (cores): 4
LSB Release Description: NAME=EndeavourOS
Display: 0.0
Screen size: 1920x1080
Local screen bounds: x = 0, y = 0, width = 1920, height = 1046
Screen resolution (DPI): 100
Available screens: 1
Default font: family=Dialog,name=Dialog,style=plain,size=12
Scale size: 12
OS font scaling: 100%
Anti-Alias Enabled: false
Java version: 17.0.7 64-bit
JavaFX version: 17.0.1
Java home: /tools/Xilinx/Vivado/2023.2/tps/lnx64/jre17.0.7_7
Java executable: /tools/Xilinx/Vivado/2023.2/tps/lnx64/jre17.0.7_7/bin/java
Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Djdk.gtk.version=2, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs]
Java initial memory (-Xms): 512 MB
Java maximum memory (-Xmx): 3 GB
User name: veronikaplevacova
User home directory: /home/veronikaplevacova
User working directory: /home/veronikaplevacova/Plocha/PCS2
User country: US
User language: en
User locale: en_US
RDI_BASEROOT: /tools/Xilinx/Vivado
HDI_APPROOT: /tools/Xilinx/Vivado/2023.2
RDI_DATADIR: /tools/Xilinx/Vivado/2023.2/data
RDI_BINDIR: /tools/Xilinx/Vivado/2023.2/bin
Vivado preferences file: /home/veronikaplevacova/.Xilinx/Vivado/2023.2/vivado.xml
Vivado preferences directory: /home/veronikaplevacova/.Xilinx/Vivado/2023.2/
Vivado layouts directory: /home/veronikaplevacova/.Xilinx/Vivado/2023.2/data/layouts
PlanAhead jar file: /tools/Xilinx/Vivado/2023.2/lib/classes/planAhead.jar
Vivado log file: /home/veronikaplevacova/Plocha/PCS2/vivado.log
Vivado journal file: /home/veronikaplevacova/Plocha/PCS2/vivado.jou
Engine tmp dir: ./.Xil/Vivado-14373-veronika-swiftsf11433
Non-Default Parameters: []
Xilinx & AMD Environment Variables
--------------------------------------------------------------------------------------------
RDI_APPROOT: /tools/Xilinx/Vivado/2023.2
RDI_BASEROOT: /tools/Xilinx/Vivado
RDI_BINROOT: /tools/Xilinx/Vivado/2023.2/bin
RDI_BUILD: yes
RDI_DATADIR: /tools/Xilinx/Vivado/2023.2/data
RDI_INSTALLROOT: /tools/Xilinx
RDI_INSTALLVER: 2023.2
RDI_JAVA_PLATFORM:
RDI_JAVA_VERSION: 17.0.7_7
RDI_LIBDIR: /tools/Xilinx/Vivado/2023.2/lib/lnx64.o/Default:/tools/Xilinx/Vivado/2023.2/lib/lnx64.o
RDI_OPT_EXT: .o
RDI_PATCHROOT:
RDI_PLATFORM: lnx64
RDI_PREPEND_PATH: /tools/Xilinx/Vivado/2023.2/ids_lite/ISE/bin/lin64
RDI_PROG: /tools/Xilinx/Vivado/2023.2/bin/unwrapped/lnx64.o/vivado
RDI_SESSION_INFO: /home/veronikaplevacova/Plocha/PCS2:veronika-swiftsf11433_1702388917_14318
RDI_SHARED_DATA: /tools/Xilinx/SharedData/2023.2/data
RDI_TPS_ROOT: /tools/Xilinx/Vivado/2023.2/tps/lnx64
RDI_USE_JDK17: True
SHELL: /bin/bash
XILINX: /tools/Xilinx/Vivado/2023.2/ids_lite/ISE
XILINX_DSP: /tools/Xilinx/Vivado/2023.2/ids_lite/ISE
XILINX_HLS: /tools/Xilinx/Vitis_HLS/2023.2
XILINX_PLANAHEAD: /tools/Xilinx/Vivado/2023.2
XILINX_VIVADO: /tools/Xilinx/Vivado/2023.2
XILINX_VIVADO_HLS: /tools/Xilinx/Vivado/2023.2
GUI allocated memory: 512 MB
GUI max memory: 4,072 MB
Engine allocated memory: 1,329 MB
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved.
*/
// TclEventType: START_GUI
// Tcl Message: start_gui
// HMemoryUtils.trashcanNow. Engine heap size: 1,355 MB. GUI used memory: 69 MB. Current time: 12/12/23, 2:49:06 PM CET
selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr", 0); // b.c (PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS)
// Opening Vivado Project: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr. Version: Vivado v2023.2
// TclEventType: DEBUG_PROBE_SET_CHANGE
// TclEventType: FLOW_ADDED
// Tcl Message: open_project /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr
// TclEventType: MSGMGR_MOVEMSG
// HMemoryUtils.trashcanNow. Engine heap size: 1,547 MB. GUI used memory: 67 MB. Current time: 12/12/23, 2:49:21 PM CET
// TclEventType: FILE_SET_CHANGE
// TclEventType: FILE_SET_NEW
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_CURRENT
// TclEventType: PROJECT_DASHBOARD_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_NEW
// Tcl Message: open_project /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr
// Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.gen/sources_1'.
// Tcl Message: Scanning sources... Finished scanning sources
// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified
// TclEventType: PROJECT_NEW
// [GUI Memory]: 123 MB (+126901kb) [00:00:32]
// [Engine Memory]: 1,659 MB (+1588517kb) [00:00:32]
// WARNING: HEventQueue.dispatchEvent() is taking 4138 ms.
// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2023.2/data/ip'.
// Tcl Message: open_project: Time (s): cpu = 00:00:29 ; elapsed = 00:00:18 . Memory (MB): peak = 7747.820 ; gain = 306.031 ; free physical = 173 ; free virtual = 9086
// Project name: filter_vivado; location: /home/veronikaplevacova/Plocha/PCS2/synth; part: xc7k160tffv676-1
// Elapsed time: 19 seconds
dismissDialog("Open Project"); // bq (Open Project Progress)
// [GUI Memory]: 151 MB (+22523kb) [00:00:38]
// Tcl Message: update_compile_order -fileset sources_1
// Elapsed time: 29 seconds
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
// PAPropertyPanels.initPanels (jenkins_hash.vhd) elapsed time: 0.2s
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
// HMemoryUtils.trashcanNow. Engine heap size: 1,744 MB. GUI used memory: 74 MB. Current time: 12/12/23, 2:50:01 PM CET
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
// [Engine Memory]: 1,744 MB (+1627kb) [00:01:10]
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
// [Engine Memory]: 1,837 MB (+5661kb) [00:01:14]
selectCodeEditor("jenkins_final.vhd", 240, 240); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 205, 235); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 243, 243); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 253, 351); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 108, 471); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 253, 243); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 59, 255); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 159, 318); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 51, 334); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 61, 349); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 101, 155); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 144, 312); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 106, 120); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 271, 294); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 216, 357); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 239, 35); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 66, 232); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 10, 244); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 199, 447); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 53, 156); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 238, 195); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 293, 317); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 35, 332); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 105, 503); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 267, 292); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 267, 292); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 68, 206); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 335, 420); // ad (jenkins_final.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 02m:56s
// HMemoryUtils.trashcanNow. Engine heap size: 1,883 MB. GUI used memory: 76 MB. Current time: 12/12/23, 2:52:21 PM CET
// Elapsed Time for: 'L.f': 03m:00s
// Elapsed time: 24 seconds
selectCodeEditor("jenkins_final.vhd", 50, 287); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 128, 336); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 121, 376); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 86, 213); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 71, 230); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 239, 461); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 242, 480); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 232, 395); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 265, 416); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 260, 431); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 266, 449); // ad (jenkins_final.vhd)
selectCodeEditor("jenkins_final.vhd", 270, 430); // ad (jenkins_final.vhd)
// Elapsed time: 10 seconds
selectCodeEditor("jenkins_final.vhd", 236, 204); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
selectCodeEditor("jenkins_mix.vhd", 15, 262); // ad (jenkins_mix.vhd)
typeControlKey((HResource) null, "jenkins_mix.vhd", 'v'); // ad (jenkins_mix.vhd)
// HMemoryUtils.trashcanNow. Engine heap size: 1,906 MB. GUI used memory: 77 MB. Current time: 12/12/23, 2:53:36 PM CET
selectCodeEditor("jenkins_mix.vhd", 30, 267); // ad (jenkins_mix.vhd)
selectCodeEditor("jenkins_mix.vhd", 256, 322); // ad (jenkins_mix.vhd)
selectCodeEditor("jenkins_mix.vhd", 258, 329); // ad (jenkins_mix.vhd)
selectCodeEditor("jenkins_mix.vhd", 251, 392); // ad (jenkins_mix.vhd)
selectCodeEditor("jenkins_mix.vhd", 247, 364); // ad (jenkins_mix.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 04m:28s
// [Engine Memory]: 1,944 MB (+16436kb) [00:05:02]
// HMemoryUtils.trashcanNow. Engine heap size: 1,944 MB. GUI used memory: 77 MB. Current time: 12/12/23, 2:53:56 PM CET
// Elapsed Time for: 'L.f': 04m:32s
// Elapsed time: 14 seconds
collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 7, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 7, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
// HMemoryUtils.trashcanNow. Engine heap size: 1,975 MB. GUI used memory: 78 MB. Current time: 12/12/23, 2:54:11 PM CET
selectCodeEditor("block_memory.vhd", 348, 397); // ad (block_memory.vhd)
// Elapsed time: 10 seconds
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[1].storage : block_memory(behavioral) (block_memory.vhd)]", 8, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[1].storage : block_memory(behavioral) (block_memory.vhd)]", 8, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[1].storage : block_memory(behavioral) (block_memory.vhd)]", 8, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[1].storage : block_memory(behavioral) (block_memory.vhd)]", 8, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[2].storage : block_memory(behavioral) (block_memory.vhd)]", 9, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[2].storage : block_memory(behavioral) (block_memory.vhd)]", 9, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[3].storage : block_memory(behavioral) (block_memory.vhd)]", 10, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[3].storage : block_memory(behavioral) (block_memory.vhd)]", 10, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 7, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 7, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
// Elapsed time: 10 seconds
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
// HMemoryUtils.trashcanNow. Engine heap size: 2,026 MB. GUI used memory: 78 MB. Current time: 12/12/23, 2:54:46 PM CET
selectCodeEditor("filter.vhd", 556, 358); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 556, 358); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 556, 358); // ad (filter.vhd)
// Elapsed time: 10 seconds
selectCodeEditor("filter.vhd", 216, 354); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 319, 397); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 319, 397); // ad (filter.vhd)
selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu)
// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a (PAResourceQtoS.SaveProjectUtils_SAVE)
// TclEventType: DG_GRAPH_STALE
dismissDialog("Save Project"); // W.d (dialog0)
// TclEventType: RUN_MODIFY
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// TclEventType: RUN_MODIFY
// TclEventType: RUN_RESET
// TclEventType: FILE_SET_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
// Tcl Message: reset_run synth_1
// TclEventType: RUN_MODIFY
// HMemoryUtils.trashcanNow. Engine heap size: 2,037 MB. GUI used memory: 79 MB. Current time: 12/12/23, 2:55:11 PM CET
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Launch Runs"); // f (dialog1)
// Tcl Message: launch_runs synth_1 -jobs 2
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// TclEventType: RUN_STATUS_CHANGE
// Tcl Message: [Tue Dec 12 14:55:16 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log
// [Engine Memory]: 2,048 MB (+6690kb) [00:06:25]
// 'i' command handler elapsed time: 12 seconds
dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress)
selectCodeEditor("filter.vhd", 157, 236); // ad (filter.vhd)
// Elapsed Time for: 'L.f': 05m:52s
// TclEventType: RUN_STATUS_CHANGE
// Elapsed Time for: 'L.f': 05m:56s
selectCodeEditor("filter.vhd", 147, 197); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 316, 220); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 298, 261); // ad (filter.vhd)
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 308 seconds
dismissDialog("Synthesis Completed"); // Q.a (dialog2)
collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 19); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 19); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 23, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Tcl Message: open_run synth_1 -name synth_1
// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1
// HMemoryUtils.trashcanNow. Engine heap size: 2,276 MB. GUI used memory: 80 MB. Current time: 12/12/23, 3:00:46 PM CET
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 2,515 MB. GUI used memory: 86 MB. Current time: 12/12/23, 3:00:53 PM CET
// [Engine Memory]: 2,516 MB (+383226kb) [00:12:02]
// TclEventType: DESIGN_NEW
// Xgd.load filename: /tools/Xilinx/Vivado/2023.2/data/parts/xilinx/kintex7/devint/kintex7/xc7k160t/xc7k160t.xgd; ZipEntry: xc7k160t_detail.xgd elapsed time: 0.7s
// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 0.9s
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 1s
// [GUI Memory]: 176 MB (+18432kb) [00:12:06]
// DeviceView Instantiated
// WARNING: HEventQueue.dispatchEvent() is taking 3645 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: INFO: [Device 21-403] Loading part xc7k160tffv676-1
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 8463.555 ; gain = 0.000 ; free physical = 210 ; free virtual = 8084
// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 8566.055 ; gain = 0.000 ; free physical = 160 ; free virtual = 7991
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// Device view-level: 0.0
// Tcl Message: open_run: Time (s): cpu = 00:00:22 ; elapsed = 00:00:16 . Memory (MB): peak = 8682.340 ; gain = 558.598 ; free physical = 191 ; free virtual = 7705
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
// Elapsed time: 19 seconds
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Timing Summary"); // ag (dialog3)
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// RouteApi::initDelayMediator elapsed time: 12s
// RouteApi: Init Delay Mediator Swing Worker Finished
// HMemoryUtils.trashcanNow. Engine heap size: 3,142 MB. GUI used memory: 113 MB. Current time: 12/12/23, 3:01:11 PM CET
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
// WARNING: HEventQueue.dispatchEvent() is taking 1156 ms.
// Tcl Message: report_timing_summary: Time (s): cpu = 00:00:22 ; elapsed = 00:00:13 . Memory (MB): peak = 9219.238 ; gain = 533.965 ; free physical = 191 ; free virtual = 7212
// Elapsed time: 13 seconds
dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress)
// [Engine Memory]: 3,173 MB (+557106kb) [00:12:24]
// Elapsed time: 10 seconds
selectButton(PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK, "-0.708 ns"); // g (PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK)
// PAPropertyPanels.initPanels (Path 1) elapsed time: 0.9s
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; -0.708 ; 14 ; 4 ; 33 ; storage_generate[2].storage/memory_reg_1/CLKBWRCLK ; registered_output.OUTPUT_DATA_reg[0]/D ; 4.687571 ; 3.345 ; 1.3425714 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "storage_generate[2].storage/memory_reg_1/CLKBWRCLK", 5); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE)
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
// [GUI Memory]: 187 MB (+2333kb) [00:12:43]
selectCodeEditor("block_memory.vhd", 430, 410); // ad (block_memory.vhd)
typeControlKey((HResource) null, "block_memory.vhd", 'v'); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 7, 412); // ad (block_memory.vhd)
typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd)
typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd)
typeControlKey((HResource) null, "block_memory.vhd", 'v'); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 28, 450); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 28, 450, false, false, false, false, true); // ad (block_memory.vhd) - Double Click
typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 329, 434); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 329, 434, false, false, false, false, true); // ad (block_memory.vhd) - Double Click
typeControlKey((HResource) null, "block_memory.vhd", 'v'); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 25, 432); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 211, 282); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 11, 317); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 117, 333); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 15, 294); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 468, 314); // ad (block_memory.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 12m:50s
// HMemoryUtils.trashcanNow. Engine heap size: 3,205 MB. GUI used memory: 157 MB. Current time: 12/12/23, 3:02:16 PM CET
selectCodeEditor("block_memory.vhd", 238, 366); // ad (block_memory.vhd)
// Elapsed Time for: 'L.f': 12m:54s
// Elapsed time: 25 seconds
selectCodeEditor("block_memory.vhd", 653, 320); // ad (block_memory.vhd)
typeControlKey((HResource) null, "block_memory.vhd", 'v'); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 24, 341); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 623, 320); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 226, 367); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 226, 367, false, false, false, false, true); // ad (block_memory.vhd) - Double Click
selectCodeEditor("block_memory.vhd", 455, 446); // ad (block_memory.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
selectCodeEditor("block_memory.vhd", 233, 430); // ad (block_memory.vhd)
// Elapsed Time for: 'L.f': 13m:38s
// Elapsed Time for: 'L.f': 13m:42s
// HMemoryUtils.trashcanNow. Engine heap size: 3,215 MB. GUI used memory: 118 MB. Current time: 12/12/23, 3:03:51 PM CET
// Elapsed time: 49 seconds
selectCodeEditor("block_memory.vhd", 387, 358); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 280, 450); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 387, 364); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 256, 434); // ad (block_memory.vhd)
// Elapsed time: 19 seconds
selectCodeEditor("block_memory.vhd", 249, 361); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 287, 409); // ad (block_memory.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 14m:58s
// HMemoryUtils.trashcanNow. Engine heap size: 3,253 MB. GUI used memory: 118 MB. Current time: 12/12/23, 3:04:26 PM CET
// Elapsed Time for: 'L.f': 15m:02s
// Elapsed time: 96 seconds
selectCodeEditor("block_memory.vhd", 498, 434); // ad (block_memory.vhd)
selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu)
// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
// TclEventType: RUN_MODIFY
// TclEventType: RUN_RESET
// TclEventType: DESIGN_STALE
// Tcl Message: reset_run synth_1
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Launch Runs"); // f (dialog4)
// TclEventType: DESIGN_STALE
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// TclEventType: RUN_STATUS_CHANGE
// Tcl Message: launch_runs synth_1 -jobs 2
// Tcl Message: [Tue Dec 12 15:06:02 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log
dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress)
// TclEventType: RUN_STATUS_CHANGE
// Elapsed time: 26 seconds
selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN)
// TclEventType: DESIGN_CLOSE
// HMemoryUtils.trashcanNow. Engine heap size: 3,244 MB. GUI used memory: 111 MB. Current time: 12/12/23, 3:06:29 PM CET
// TclEventType: TIMING_RESULTS_UNLOAD
// Engine heap size: 3,244 MB. GUI used memory: 111 MB. Current time: 12/12/23, 3:06:29 PM CET
// WARNING: HEventQueue.dispatchEvent() is taking 1220 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: close_design
// TclEventType: DESIGN_CLOSE
dismissDialog("Closing"); // bq (Closing Progress)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd)
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd)
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 93 seconds
selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a (RDIResource.BaseDialog_CANCEL)
dismissDialog("Synthesis Completed"); // Q.a (dialog5)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Edit Timing Constraints]", 21, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 23, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Tcl Message: open_run synth_1 -name synth_1
// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 3,217 MB. GUI used memory: 86 MB. Current time: 12/12/23, 3:08:22 PM CET
// TclEventType: DESIGN_NEW
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// [Engine Memory]: 4,020 MB (+721675kb) [00:19:33]
// DeviceView Instantiated
// WARNING: HEventQueue.dispatchEvent() is taking 1655 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 9293.262 ; gain = 0.000 ; free physical = 655 ; free virtual = 7005
// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9293.262 ; gain = 0.000 ; free physical = 543 ; free virtual = 6962
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// Device view-level: 0.0
// RouteApi: Init Delay Mediator Swing Worker Finished
// Tcl Message: open_run: Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 9293.262 ; gain = 0.000 ; free physical = 243 ; free virtual = 6826
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Timing Summary"); // ag (dialog6)
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress)
// Elapsed time: 15 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectCodeEditor("block_memory.vhd", 452, 250); // ad (block_memory.vhd)
selectTab((HResource) null, (HResource) null, "Sources", 0); // aa
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
selectCodeEditor("filter.vhd", 197, 303); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 197, 303); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 197, 303); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 38, 347); // ad (filter.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// HMemoryUtils.trashcanNow. Engine heap size: 4,119 MB. GUI used memory: 121 MB. Current time: 12/12/23, 3:09:31 PM CET
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 20m:06s
// Elapsed time: 11 seconds
selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu)
// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
// TclEventType: RUN_MODIFY
// TclEventType: RUN_RESET
// TclEventType: DESIGN_STALE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
// Tcl Message: reset_run synth_1
// Elapsed Time for: 'L.f': 20m:10s
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Launch Runs"); // f (dialog7)
// TclEventType: DESIGN_STALE
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// TclEventType: RUN_STATUS_CHANGE
// Tcl Message: launch_runs synth_1 -jobs 2
// Tcl Message: [Tue Dec 12 15:09:36 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log
// 'i' command handler elapsed time: 3 seconds
dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress)
selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN)
// TclEventType: DESIGN_CLOSE
// HMemoryUtils.trashcanNow. Engine heap size: 3,323 MB. GUI used memory: 108 MB. Current time: 12/12/23, 3:09:38 PM CET
// TclEventType: TIMING_RESULTS_UNLOAD
// Engine heap size: 3,323 MB. GUI used memory: 109 MB. Current time: 12/12/23, 3:09:38 PM CET
// TclEventType: CURR_DESIGN_SET
// Tcl Message: close_design
// TclEventType: DESIGN_CLOSE
dismissDialog("Closing"); // bq (Closing Progress)
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 185 seconds
dismissDialog("Synthesis Completed"); // Q.a (dialog8)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 23, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Tcl Message: open_run synth_1 -name synth_1
// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 3,278 MB. GUI used memory: 90 MB. Current time: 12/12/23, 3:12:56 PM CET
// TclEventType: DESIGN_NEW
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// DeviceView Instantiated
// WARNING: HEventQueue.dispatchEvent() is taking 1372 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.09 . Memory (MB): peak = 9367.105 ; gain = 0.000 ; free physical = 999 ; free virtual = 7085
// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 9367.105 ; gain = 0.000 ; free physical = 878 ; free virtual = 7048
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// [Engine Memory]: 4,339 MB (+123678kb) [00:24:07]
// Device view-level: 0.0
// RouteApi: Init Delay Mediator Swing Worker Finished
// Tcl Message: open_run: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 9367.105 ; gain = 0.000 ; free physical = 686 ; free virtual = 7020
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Timing Summary"); // ag (dialog9)
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints.
// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress)
// Elapsed time: 10 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
// [GUI Memory]: 197 MB (+349kb) [00:24:23]
selectCodeEditor("block_memory.vhd", 214, 409); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 320, 315); // ad (block_memory.vhd)
// Elapsed time: 157 seconds
selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ag (PAResourceItoN.MainMenuMgr_FILE, File)
selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // al (PAResourceItoN.MainMenuMgr_PROJECT, Project)
selectMenuItem(PAResourceCommand.PACommandNames_OPEN_EXAMPLE_PROJECT, "Open Example..."); // ao (PAResourceCommand.PACommandNames_OPEN_EXAMPLE_PROJECT, open_example_project_menu)
dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ag (PAResourceItoN.MainMenuMgr_FILE, File)
// Run Command: PAResourceCommand.PACommandNames_OPEN_EXAMPLE_PROJECT
selectButton("NEXT", "Next >"); // JButton (NEXT)
// TclEventType: CED_RESET_GUI_ELEMENT
// Tcl Message: INFO: [Common 17-14] Message 'Vivado 12-4842' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings.
// Tcl Message: ERROR: [Common 17-161] Invalid option value '' specified for 'of_objects'.
// TclEventType: CED_RESET_GUI_ELEMENT
// Tcl Message: ERROR: [Common 17-161] Invalid option value '' specified for 'of_objects'.
// TclEventType: CED_RESET_GUI_ELEMENT
dismissDialog("Getting Example Templates"); // bq (Getting Example Templates Progress)
selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, MPSoC Extensible Embedded Platform (Part based)]", 2, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE)
selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, BFT]", 3, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE)
selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, CPU (HDL)]", 4, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE)
selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, CPU (Synthesized)]", 5, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE)
selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, MicroBlaze Design Presets]", 6, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE)
selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, Wavegen (HDL)]", 7, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE)
selectButton(RDIResource.AbstractSearchablePanel_SHOW_SEARCH, "Store_search"); // v (RDIResource.AbstractSearchablePanel_SHOW_SEARCH, Store_search): TRUE
setText("Search Field", "uram"); // OverlayTextField (Search Field)
selectButton("BACK", "< Back"); // JButton (BACK)
selectButton("CANCEL", "Cancel"); // JButton (CANCEL)
// 'h' command handler elapsed time: 26 seconds
dismissDialog("Open Example Project"); // a (dialog10)
selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ag (PAResourceItoN.MainMenuMgr_FILE, File)
selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // al (PAResourceItoN.MainMenuMgr_PROJECT, Project)
selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // al (PAResourceItoN.MainMenuMgr_PROJECT, Project)
selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // al (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint)
selectMenu(PAResourceItoN.MainMenuMgr_CONSTRAINTS, "Constraints"); // al (PAResourceItoN.MainMenuMgr_CONSTRAINTS, Constraints)
selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // al (PAResourceItoN.MainMenuMgr_IP, IP)
selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // al (PAResourceItoN.MainMenuMgr_TEXT_EDITOR, Text Editor)
selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // al (PAResourceItoN.MainMenuMgr_IMPORT, Import)
selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // al (PAResourceItoN.MainMenuMgr_EXPORT, Export)
selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // al (PAResourceItoN.MainMenuMgr_IMPORT, Import)
selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // al (PAResourceItoN.MainMenuMgr_EXPORT, Export)
selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // al (PAResourceItoN.MainMenuMgr_EXPORT, Export)
selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // al (PAResourceItoN.MainMenuMgr_IMPORT, Import)
selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // al (PAResourceItoN.MainMenuMgr_TEXT_EDITOR, Text Editor)
selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // al (PAResourceItoN.MainMenuMgr_IP, IP)
selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // al (PAResourceItoN.MainMenuMgr_IMPORT, Import)
dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ag (PAResourceItoN.MainMenuMgr_FILE, File)
// [GUI Memory]: 211 MB (+4344kb) [00:28:04]
// Elapsed time: 228 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_mix.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectCodeEditor("block_memory.vhd", 7, 225); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 29, 226); // ad (block_memory.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 31m:18s
// HMemoryUtils.trashcanNow. Engine heap size: 4,380 MB. GUI used memory: 128 MB. Current time: 12/12/23, 3:20:46 PM CET
// Elapsed Time for: 'L.f': 31m:22s
// Elapsed time: 28 seconds
selectCodeEditor("block_memory.vhd", 408, 332); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 390, 369); // ad (block_memory.vhd)
// Elapsed time: 21 seconds
selectCodeEditor("block_memory.vhd", 84, 137); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 119, 160); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 84, 176); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 56, 209); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 77, 250); // ad (block_memory.vhd)
// Elapsed time: 31 seconds
selectCodeEditor("block_memory.vhd", 236, 371); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 236, 371, false, false, false, false, true); // ad (block_memory.vhd) - Double Click
selectCodeEditor("block_memory.vhd", 234, 385); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 234, 385, false, false, false, false, true); // ad (block_memory.vhd) - Double Click
// Elapsed time: 21 seconds
selectCodeEditor("block_memory.vhd", 395, 280); // ad (block_memory.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 33m:06s
selectTab((HResource) null, (HResource) null, "Sources", 0); // aa
// Elapsed Time for: 'L.f': 33m:10s
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
// Elapsed time: 22 seconds
selectCodeEditor("filter.vhd", 263, 209); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 389, 380); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 319, 209); // ad (filter.vhd)
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu)
// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
// TclEventType: RUN_MODIFY
// TclEventType: RUN_RESET
// TclEventType: DESIGN_STALE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
// Tcl Message: reset_run synth_1
// Elapsed Time for: 'L.f': 33m:46s
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Launch Runs"); // f (dialog11)
// Tcl Message: launch_runs synth_1 -jobs 2
// TclEventType: DESIGN_STALE
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// TclEventType: RUN_STATUS_CHANGE
// Tcl Message: [Tue Dec 12 15:23:15 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log
// 'i' command handler elapsed time: 6 seconds
dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress)
// Elapsed Time for: 'L.f': 33m:52s
// TclEventType: RUN_STATUS_CHANGE
// Elapsed time: 13 seconds
selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN)
// TclEventType: DESIGN_CLOSE
// HMemoryUtils.trashcanNow. Engine heap size: 3,385 MB. GUI used memory: 120 MB. Current time: 12/12/23, 3:23:29 PM CET
// TclEventType: TIMING_RESULTS_UNLOAD
// Engine heap size: 3,385 MB. GUI used memory: 121 MB. Current time: 12/12/23, 3:23:30 PM CET
// WARNING: HEventQueue.dispatchEvent() is taking 1035 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: close_design
// TclEventType: DESIGN_CLOSE
dismissDialog("Closing"); // bq (Closing Progress)
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 143 seconds
dismissDialog("Synthesis Completed"); // Q.a (dialog12)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 23, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Tcl Message: open_run synth_1 -name synth_1
// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 96 MB. Current time: 12/12/23, 3:26:01 PM CET
// TclEventType: DESIGN_NEW
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// DeviceView Instantiated
// WARNING: HEventQueue.dispatchEvent() is taking 1325 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.08 . Memory (MB): peak = 9413.113 ; gain = 0.000 ; free physical = 978 ; free virtual = 6827
// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9413.113 ; gain = 0.000 ; free physical = 837 ; free virtual = 6760
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// Device view-level: 0.0
// RouteApi: Init Delay Mediator Swing Worker Finished
// Tcl Message: open_run: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 9413.113 ; gain = 0.000 ; free physical = 613 ; free virtual = 6672
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Timing Summary"); // ag (dialog13)
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress)
selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
selectMenuItem(PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION, "Run Implementation"); // ao (PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION, run_implementation_menu)
// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Launch Runs"); // f (dialog14)
// Tcl Message: launch_runs impl_1 -jobs 2
// Tcl Message: INFO: [Timing 38-480] Writing timing data to binary archive.
// Tcl Message: Write ShapeDB Complete: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.03 . Memory (MB): peak = 9413.113 ; gain = 0.000 ; free physical = 451 ; free virtual = 6573
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_MODIFY
// Tcl Message: [Tue Dec 12 15:26:16 2023] Launched impl_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/runme.log
// 'a' command handler elapsed time: 3 seconds
dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress)
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// WARNING: HSwingWorker (Update Runs Swing Worker) is taking 1140 ms. Increasing delay to 3000 ms.
// TclEventType: RUN_STEP_COMPLETED
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// WARNING: HEventQueue.dispatchEvent() is taking 1076 ms.
// WARNING: HTimer (ExpRunMgr Pending Runs Timer) is taking 447ms to process. Increasing delay to 3000 ms.
// Elapsed time: 275 seconds
selectTab((HResource) null, (HResource) null, "Sources", 0); // aa
collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design]", 35); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design]", 35); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design, Report Timing Summary]", 38, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Tcl Message: open_run impl_1
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: POWER_CNS_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 4,139 MB. GUI used memory: 134 MB. Current time: 12/12/23, 3:31:09 PM CET
// TclEventType: DESIGN_NEW
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 2.2s
// DeviceView Instantiated
// WARNING: HEventQueue.dispatchEvent() is taking 2773 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.06 . Memory (MB): peak = 9421.082 ; gain = 0.000 ; free physical = 203 ; free virtual = 6584
// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: Read ShapeDB Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.06 . Memory (MB): peak = 9451.051 ; gain = 2.000 ; free physical = 210 ; free virtual = 6521
// Tcl Message: INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete. INFO: [Designutils 20-5722] Start Reading Physical Databases.
// Tcl Message: Reading placement.
// Tcl Message: Read Netlist Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 138 ; free virtual = 6422
// Tcl Message: Reading placer database...
// Tcl Message: Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 138 ; free virtual = 6422 Read PlaceDB: Time (s): cpu = 00:00:00.55 ; elapsed = 00:00:00.57 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 131 ; free virtual = 6417 Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 131 ; free virtual = 6417
// Tcl Message: Reading routing.
// Tcl Message: Read RouteStorage: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.5 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 155 ; free virtual = 6406 Read Physdb Files: Time (s): cpu = 00:00:00.92 ; elapsed = 00:00:01 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 156 ; free virtual = 6407
// Tcl Message: Restored from archive | CPU: 1.120000 secs | Memory: 9.701759 MB |
// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00.92 ; elapsed = 00:00:01 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 156 ; free virtual = 6407 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 157 ; free virtual = 6407
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// Device view-level: 0.0
// RouteApi: Init Delay Mediator Swing Worker Finished
// Tcl Message: open_run: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 9817.012 ; gain = 395.930 ; free physical = 287 ; free virtual = 6485
// TclEventType: DRC_ADDED
// TclEventType: METHODOLOGY_ADDED
// TclEventType: POWER_UPDATED
// [GUI Memory]: 222 MB (+700kb) [00:42:23]
// WARNING: HEventQueue.dispatchEvent() is taking 2646 ms.
// TclEventType: TIMING_SUMMARY_UPDATED
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
// Elapsed time: 15 seconds
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Timing Summary"); // ag (dialog15)
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress)
// [GUI Memory]: 237 MB (+3418kb) [00:44:39]
// Elapsed time: 238 seconds
selectTab((HResource) null, (HResource) null, "Sources", 0); // aa
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger
selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // al (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
// [GUI Memory]: 253 MB (+4266kb) [00:46:42]
selectCodeEditor("jenkins_final.vhd", 22, 10); // ad (jenkins_final.vhd)
typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd)
// Elapsed time: 11 seconds
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
selectCodeEditor("jenkins_mix.vhd", 131, 13); // ad (jenkins_mix.vhd)
typeControlKey((HResource) null, "jenkins_mix.vhd", 'c'); // ad (jenkins_mix.vhd)
// Elapsed time: 87 seconds
selectCodeEditor("jenkins_mix.vhd", 62, 296); // ad (jenkins_mix.vhd)
typeControlKey((HResource) null, "jenkins_mix.vhd", 'c'); // ad (jenkins_mix.vhd)
// Elapsed time: 244 seconds
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
selectCodeEditor("filter.vhd", 93, 8); // ad (filter.vhd)
typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd)
// Elapsed time: 46 seconds
selectCodeEditor("filter.vhd", 128, 295); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 216, 363); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 84, 158); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 84, 158, false, false, false, false, true); // ad (filter.vhd) - Double Click
typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd)
// Elapsed time: 37 seconds
selectCodeEditor("filter.vhd", 270, 348); // ad (filter.vhd)
// Elapsed time: 10 seconds
typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd)
typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd)
typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd)
typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd)
// Elapsed time: 32 seconds
selectCodeEditor("filter.vhd", 68, 450); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 68, 450, false, false, false, false, true); // ad (filter.vhd) - Double Click
// Elapsed time: 16 seconds
selectCodeEditor("filter.vhd", 155, 283); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 267, 246); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 37, 233); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 200, 328); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 87, 161); // ad (filter.vhd)
selectCodeEditor("filter.vhd", 87, 161, false, false, false, false, true); // ad (filter.vhd) - Double Click
typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd)
// Elapsed time: 121 seconds
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 9, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 9, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click
selectCodeEditor("block_memory.vhd", 138, 12); // ad (block_memory.vhd)
typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd)
// HMemoryUtils.trashcanNow. Engine heap size: 4,546 MB. GUI used memory: 165 MB. Current time: 12/12/23, 3:46:36 PM CET
selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd)
// Elapsed time: 17 seconds
selectCodeEditor("block_memory.vhd", 359, 312); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 21, 297); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 128, 257); // ad (block_memory.vhd)
selectCodeEditor("block_memory.vhd", 128, 257, false, false, false, false, true); // ad (block_memory.vhd) - Double Click
selectCodeEditor("block_memory.vhd", 23, 265); // ad (block_memory.vhd)
typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd)
// Elapsed time: 111 seconds
selectCodeEditor("block_memory.vhd", 432, 321); // ad (block_memory.vhd)
typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd)
// Elapsed time: 468 seconds
selectTab((HResource) null, (HResource) null, "Power", 7); // aa
selectTab((HResource) null, (HResource) null, "Methodology", 6); // aa
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation]", 33, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node
// TclEventType: CURR_DESIGN_SET
// PAPropertyPanels.initPanels (block_memory.vhd) elapsed time: 0.5s
// Run Command: PAResourceCommand.PACommandNames_RUN_NOISE
// WARNING: HEventQueue.dispatchEvent() is taking 1855 ms.
// Tcl Message: current_design synth_1
dismissDialog("Report Noise"); // a (dialog16)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis]", 18, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true, false, false, false, false, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Double Click - Node
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true, false, false, false, false, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Double Click - Node
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN)
selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu)
// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
selectButton("OptionPane.button", "OK"); // JButton (OptionPane.button)
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
// TclEventType: RUN_MODIFY
dismissDialog("Run Synthesis"); // u (dialog17)
// TclEventType: DESIGN_STALE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: DESIGN_STALE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// Tcl Message: reset_run synth_1
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Launch Runs"); // f (dialog18)
// TclEventType: DESIGN_STALE
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// TclEventType: RUN_STATUS_CHANGE
// Tcl Message: launch_runs synth_1 -jobs 2
// Tcl Message: [Tue Dec 12 15:57:17 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log
// 'i' command handler elapsed time: 4 seconds
dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress)
selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN)
// TclEventType: DESIGN_CLOSE
// HMemoryUtils.trashcanNow. Engine heap size: 3,825 MB. GUI used memory: 139 MB. Current time: 12/12/23, 3:57:19 PM CET
// TclEventType: TIMING_RESULTS_UNLOAD
// Engine heap size: 3,760 MB. GUI used memory: 140 MB. Current time: 12/12/23, 3:57:19 PM CET
// WARNING: HEventQueue.dispatchEvent() is taking 1763 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: close_design
// TclEventType: DESIGN_CLOSE
dismissDialog("Closing"); // bq (Closing Progress)
// TclEventType: RUN_STATUS_CHANGE
// Elapsed time: 17 seconds
selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN)
// TclEventType: DESIGN_CLOSE
// HMemoryUtils.trashcanNow. Engine heap size: 3,735 MB. GUI used memory: 114 MB. Current time: 12/12/23, 3:57:39 PM CET
// TclEventType: TIMING_RESULTS_UNLOAD
// Engine heap size: 3,735 MB. GUI used memory: 114 MB. Current time: 12/12/23, 3:57:40 PM CET
// WARNING: HEventQueue.dispatchEvent() is taking 1121 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: close_design
// TclEventType: DESIGN_CLOSE
dismissDialog("Closing"); // bq (Closing Progress)
// Elapsed time: 16 seconds
collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 19); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 19); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// WARNING: HEventQueue.dispatchEvent() is taking 1046 ms.
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 87 seconds
selectRadioButton(PAResourceCommand.PACommandNames_REPORTS_WINDOW, "View Reports"); // a (PAResourceCommand.PACommandNames_REPORTS_WINDOW)
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
// Run Command: PAResourceCommand.PACommandNames_REPORTS_WINDOW
dismissDialog("Synthesis Completed"); // Q.a (dialog19)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Utilization]", 28, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Tcl Message: open_run synth_1 -name synth_1
// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 3,701 MB. GUI used memory: 90 MB. Current time: 12/12/23, 3:59:35 PM CET
// TclEventType: DESIGN_NEW
// Xgd.load filename: /tools/Xilinx/Vivado/2023.2/data/parts/xilinx/kintex7/devint/kintex7/xc7k160t/xc7k160t.xgd; ZipEntry: xc7k160t_detail.xgd elapsed time: 0.8s
// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 0.9s
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 1.3s
// DeviceView Instantiated
// WARNING: HEventQueue.dispatchEvent() is taking 1775 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 9817.012 ; gain = 0.000 ; free physical = 824 ; free virtual = 6097
// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc]
// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9817.012 ; gain = 0.000 ; free physical = 672 ; free virtual = 6022
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// Device view-level: 0.0
// RouteApi: Init Delay Mediator Swing Worker Finished
// Tcl Message: open_run: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 9817.012 ; gain = 0.000 ; free physical = 386 ; free virtual = 5942
// Run Command: PAResourceCommand.PACommandNames_RESOURCE_UTILIZATION
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Utilization"); // a (dialog20)
// TclEventType: UTILIZATION_RESULT_GENERATED
// Tcl Message: report_utilization -name utilization_1
// HMemoryUtils.trashcanNow. Engine heap size: 4,021 MB. GUI used memory: 130 MB. Current time: 12/12/23, 3:59:42 PM CET
dismissDialog("Report Utilization"); // bq (Report Utilization Progress)
selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "filter", 0, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Node
collapseTreeTable((HResource) null, "filter ; 3787 ; 4795 ; 34", 0); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy))
// Elapsed time: 26 seconds
selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Node
expandTreeTable((HResource) null, "filter ; 3787 ; 4795 ; 34", 0); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy))
selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true, false, false, false, false, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Double Click - Node
selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true, false, false, false, true, false); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Popup Trigger - Node
selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Node
selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Node
// Elapsed time: 48 seconds
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Clock Networks]", 25, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Run Command: PAResourceCommand.PACommandNames_REPORT_CLOCK_NETWORKS
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Clock Networks"); // a (dialog21)
// Tcl Message: report_clock_networks -name {network_1}
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints.
// TclEventType: TIMING_CLOCK_NETWORKS_UPDATED
dismissDialog("Report Clock Networks"); // bq (Report Clock Networks Progress)
expandTree(PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE, "[top objects, CLK (250.00 MHz) (drives 5028 loads)]", 0); // c.b (PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE)
expandTree(PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE, "[top objects, CLK (250.00 MHz) (drives 5028 loads), CLK]", 1); // c.b (PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE)
selectTree(PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE, "[top objects, CLK (250.00 MHz) (drives 5028 loads)]", 0); // c.b (PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE)
collapseTree(PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE, "[top objects, CLK (250.00 MHz) (drives 5028 loads)]", 0); // c.b (PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE)
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 24, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Timing Summary"); // ag (dialog22)
// TclEventType: TIMING_SUMMARY_UPDATED
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress)
// Elapsed time: 85 seconds
selectButton(PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK, "0.572 ns"); // g (PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK)
// PAPropertyPanels.initPanels (Path 1) elapsed time: 0.5s
// HMemoryUtils.trashcanNow. Engine heap size: 3,724 MB. GUI used memory: 147 MB. Current time: 12/12/23, 4:02:55 PM CET
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE)
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE)
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7, false, false, false, false, true); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) - Double Click
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7, false, false, false, true, false); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) - Popup Trigger
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7, false, false, false, true, false); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) - Popup Trigger
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE)
selectTableHeader(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Total Delay", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE)
selectTableHeader(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Total Delay", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE)
// Elapsed time: 82 seconds
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Clock Interaction]", 26, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree)
// Run Command: PAResourceCommand.PACommandNames_REPORT_CLOCK_INTERACTION
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK)
dismissDialog("Report Clock Interaction"); // R (dialog23)
// TclEventType: CLOCK_INTERACTION_UPDATED
// Tcl Message: report_clock_interaction -delay_type min_max -significant_digits 3 -name timing_2
// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
dismissDialog("Report Clock Interaction"); // bq (Report Clock Interaction Progress)
// Elapsed time: 76 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_mix.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectCodeEditor("jenkins_mix.vhd", 178, 207); // ad (jenkins_mix.vhd)
selectCodeEditor("jenkins_mix.vhd", 253, 218); // ad (jenkins_mix.vhd)
// Elapsed time: 24 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_final.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
// Elapsed time: 27 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_final.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_final.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_hash.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_final.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_mix.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane)
selectTab((HResource) null, (HResource) null, "Sources", 0); // aa
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE)
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node
// Elapsed time: 10 seconds
selectCodeEditor("filter.vhd", 217, 92); // ad (filter.vhd)