diff --git a/comp/block_memory.vhd b/comp/block_memory.vhd index ae98d6c..d7d6f09 100644 --- a/comp/block_memory.vhd +++ b/comp/block_memory.vhd @@ -49,11 +49,14 @@ architecture behavioral of block_memory is signal memory_data : std_logic_vector(ITEM_WIDTH-1 downto 0); signal memory_data_valid : std_logic; - attribute ramstyle : string; - attribute ramstyle of memory : signal is "M20K"; + --attribute ram_style : string; + --attribute ram_style of memory: signal is "DISTRIBUTED"; -- 208 MHZ in implementation when no pipelining on memory but need lot of LUTRAM + --attribute ram_style of memory: signal is "BLOCK"; + --attribute ram_style of memory: signal is "M20K"; + begin - + -- Unsupported configurations checks ----------------------------------------- assert ITEMS > 1 report "FAILURE: Block Memory must have at least two items!" severity failure; assert ITEM_WIDTH > 0 report "FAILURE: Block Memory item must be at least 1 bit wide!" severity failure; diff --git a/comp/jenkins_final.vhd b/comp/jenkins_final.vhd index 51783c5..2a757f3 100644 --- a/comp/jenkins_final.vhd +++ b/comp/jenkins_final.vhd @@ -68,82 +68,52 @@ begin s(0).key <= INPUT_KEY; s(0).valid <= INPUT_VALID; - -- Stage 1: c ^= b; c -= rot(b,14); - stage1_p : process ( clk ) is + pipeline_p : process ( clk ) is begin if rising_edge ( clk ) then + -- Stage 1: c ^= b; c -= rot(b,14); s(1).a <= s(0).a; s(1).b <= s(0).b; s(1).c <= (s(0).c xor s(0).b) - rot(s(0).b, 14); s(1).key <= s(0).key; s(1).valid <= s(0).valid; - end if; - end process; - -- Stage 2: a ^= c; a -= rot(c,11); - stage2_p : process ( clk ) is - begin - if rising_edge ( clk ) then + -- Stage 2: a ^= c; a -= rot(c,11); s(2).a <= (s(1).a xor s(1).c) - rot(s(1).c, 11); s(2).b <= s(1).b; s(2).c <= s(1).c; s(2).key <= s(1).key; s(2).valid <= s(1).valid; - end if; - end process; - -- Stage 3: b ^= a; b -= rot(a,25); - stage3_p : process ( clk ) is - begin - if rising_edge ( clk ) then + -- Stage 3: b ^= a; b -= rot(a,25); s(3).a <= s(2).a; s(3).b <= (s(2).b xor s(2).a) - rot(s(2).a, 25); s(3).c <= s(2).c; s(3).key <= s(2).key; s(3).valid <= s(2).valid; - end if; - end process; - -- Stage 4: c ^= b; c -= rot(b,16); - stage4_p : process ( clk ) is - begin - if rising_edge ( clk ) then + -- Stage 4: c ^= b; c -= rot(b,16); s(4).a <= s(3).a; s(4).b <= s(3).b; s(4).c <= (s(3).c xor s(3).b) - rot(s(3).b, 16); s(4).key <= s(3).key; s(4).valid <= s(3).valid; - end if; - end process; - -- Stage 5: a ^= c; a -= rot(c,4); - stage5_p : process ( clk ) is - begin - if rising_edge ( clk ) then + -- Stage 5: a ^= c; a -= rot(c,4); s(5).a <= (s(4).a xor s(4).c) - rot(s(4).c, 4); s(5).b <= s(4).b; s(5).c <= s(4).c; s(5).key <= s(4).key; s(5).valid <= s(4).valid; - end if; - end process; - -- Stage 6: b ^= a; b -= rot(a,14); - stage6_p : process ( clk ) is - begin - if rising_edge ( clk ) then + -- Stage 6: b ^= a; b -= rot(a,14); s(6).a <= s(5).a; s(6).b <= (s(5).b xor s(5).a) - rot(s(5).a, 14); s(6).c <= s(5).c; s(6).key <= s(5).key; s(6).valid <= s(5).valid; - end if; - end process; - -- Stage 7: c ^= b; c -= rot(b,24); - stage7_p : process ( clk ) is - begin - if rising_edge ( clk ) then + -- Stage 7: c ^= b; c -= rot(b,24); s(7).a <= s(6).a; s(7).b <= s(6).b; s(7).c <= (s(6).c xor s(6).b) - rot(s(6).b, 24); diff --git a/comp/jenkins_mix.vhd b/comp/jenkins_mix.vhd index 6b5df9f..82244dc 100644 --- a/comp/jenkins_mix.vhd +++ b/comp/jenkins_mix.vhd @@ -68,71 +68,46 @@ begin s(0).key <= INPUT_KEY; s(0).valid <= INPUT_VALID; - - -- Stage 1: a -= c; a ^= rot(c, 4); c += b; - stage1_p : process ( clk ) is + pipeline_p : process ( clk ) is begin if rising_edge ( clk ) then + + -- Stage 1: a -= c; a ^= rot(c, 4); c += b; s(1).a <= (s(0).a - s(0).c) xor rot(s(0).c, 4); s(1).b <= s(0).b; s(1).c <= s(0).c + s(0).b; s(1).key <= s(0).key; s(1).valid <= s(0).valid; - end if; - end process; - - -- Stage 2: b -= a; b ^= rot(a, 6); a += c; - stage2_p : process ( clk ) is - begin - if rising_edge ( clk ) then + + -- Stage 2: b -= a; b ^= rot(a, 6); a += c; s(2).a <= s(1).a + s(1).c; s(2).b <= (s(1).b - s(1).a) xor rot(s(1).a, 6); s(2).c <= s(1).c; s(2).key <= s(1).key; s(2).valid <= s(1).valid; - end if; - end process; - -- Stage 3: c -= b; c ^= rot(b, 8); b += a; - stage3_p : process ( clk ) is - begin - if rising_edge ( clk ) then + -- Stage 3: c -= b; c ^= rot(b, 8); b += a; s(3).a <= s(2).a; s(3).b <= s(2).b + s(2).a; s(3).c <= (s(2).c - s(2).b) xor rot(s(2).b, 8); s(3).key <= s(2).key; s(3).valid <= s(2).valid; - end if; - end process; - - -- Stage 4: a -= c; a ^= rot(c,16); c += b; - stage4_p : process ( clk ) is - begin - if rising_edge ( clk ) then + + -- Stage 4: a -= c; a ^= rot(c,16); c += b; s(4).a <= (s(3).a - s(3).c) xor rot(s(3).c, 16); s(4).b <= s(3).b; s(4).c <= s(3).c + s(3).b; s(4).key <= s(3).key; s(4).valid <= s(3).valid; - end if; - end process; - -- Stage 5: b -= a; b ^= rot(a,19); a += c; - stage5_p : process ( clk ) is - begin - if rising_edge ( clk ) then + -- Stage 5: b -= a; b ^= rot(a,19); a += c; s(5).a <= s(4).a + s(4).c; s(5).b <= (s(4).b - s(4).a) xor rot(s(4).a, 19); s(5).c <= s(4).c; s(5).key <= s(4).key; s(5).valid <= s(4).valid; - end if; - end process; - - -- Stage 6: c -= b; c ^= rot(b, 4); b += a; - stage6_p : process ( clk ) is - begin - if rising_edge ( clk ) then + + -- Stage 6: c -= b; c ^= rot(b, 4); b += a; s(6).a <= s(5).a; s(6).b <= s(5).b + s(5).a; s(6).c <= (s(5).c - s(5).b) xor rot(s(5).b, 4); @@ -140,7 +115,7 @@ begin s(6).valid <= s(5).valid; end if; end process; - + -- Output connections OUTPUT_A <= s(STAGES).a; OUTPUT_B <= s(STAGES).b; diff --git a/filter.vhd b/filter.vhd index 3922bd9..5eefe54 100644 --- a/filter.vhd +++ b/filter.vhd @@ -159,7 +159,7 @@ begin generic map ( ITEM_WIDTH => RULE_WIDTH, ITEMS => TABLE_SIZE, - OUTPUT_REGISTER => false + OUTPUT_REGISTER => true ) port map ( CLK => CLK, RESET => RESET, @@ -177,9 +177,9 @@ begin key_memory_register: process(CLK) -- key pipeline to match memory latency begin if rising_edge(CLK) then - memory_key <= hash_key; -- memory is configured to have one cycle read latecy - -- memory_key_reg <= hash_key; - -- memory_key <= memory_key_reg; + --memory_key <= hash_key; -- memory is configured to have one cycle read latecy + memory_key_reg <= hash_key; + memory_key <= memory_key_reg; end if; end process; diff --git a/synth/filter_vivado.cache/sim/ssm.db b/synth/filter_vivado.cache/sim/ssm.db new file mode 100644 index 0000000..dc3a129 --- /dev/null +++ b/synth/filter_vivado.cache/sim/ssm.db @@ -0,0 +1,10 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Mon Dec 4 22:28:29 2023) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ diff --git a/synth/filter_vivado.cache/wt/project.wpc b/synth/filter_vivado.cache/wt/project.wpc index e62d4b7..49af1d5 100644 --- a/synth/filter_vivado.cache/wt/project.wpc +++ b/synth/filter_vivado.cache/wt/project.wpc @@ -1,4 +1,4 @@ version:1 6d6f64655f636f756e7465727c42617463684d6f6465:1 -6d6f64655f636f756e7465727c4755494d6f6465:2 +6d6f64655f636f756e7465727c4755494d6f6465:5 eof: diff --git a/synth/filter_vivado.cache/wt/synthesis.wdf b/synth/filter_vivado.cache/wt/synthesis.wdf index 6737eb1..60889bb 100644 --- a/synth/filter_vivado.cache/wt/synthesis.wdf +++ b/synth/filter_vivado.cache/wt/synthesis.wdf @@ -45,7 +45,7 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a303873:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323236392e3735344d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3937342e3137324d42:00:00 -eof:920102437 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a313273:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323234392e3534374d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3936382e3137324d42:00:00 +eof:1824714065 diff --git a/synth/filter_vivado.cache/wt/webtalk_pa.xml b/synth/filter_vivado.cache/wt/webtalk_pa.xml index c1cd68b..728d0f7 100644 --- a/synth/filter_vivado.cache/wt/webtalk_pa.xml +++ b/synth/filter_vivado.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ - +
- +
diff --git a/synth/filter_vivado.cache/wt/xsim.wdf b/synth/filter_vivado.cache/wt/xsim.wdf new file mode 100644 index 0000000..50afb2c --- /dev/null +++ b/synth/filter_vivado.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:241934075 diff --git a/synth/filter_vivado.ip_user_files/README.txt b/synth/filter_vivado.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/synth/filter_vivado.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_11.xml b/synth/filter_vivado.runs/.jobs/vrs_config_11.xml new file mode 100644 index 0000000..7bc15ab --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_11.xml @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_12.xml b/synth/filter_vivado.runs/.jobs/vrs_config_12.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_12.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_13.xml b/synth/filter_vivado.runs/.jobs/vrs_config_13.xml new file mode 100644 index 0000000..5aaf564 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_13.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_14.xml b/synth/filter_vivado.runs/.jobs/vrs_config_14.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_14.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_15.xml b/synth/filter_vivado.runs/.jobs/vrs_config_15.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_15.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_16.xml b/synth/filter_vivado.runs/.jobs/vrs_config_16.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_16.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_17.xml b/synth/filter_vivado.runs/.jobs/vrs_config_17.xml new file mode 100644 index 0000000..5aaf564 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_17.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_18.xml b/synth/filter_vivado.runs/.jobs/vrs_config_18.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_18.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_19.xml b/synth/filter_vivado.runs/.jobs/vrs_config_19.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_19.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_20.xml b/synth/filter_vivado.runs/.jobs/vrs_config_20.xml new file mode 100644 index 0000000..5aaf564 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_20.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_21.xml b/synth/filter_vivado.runs/.jobs/vrs_config_21.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_21.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_22.xml b/synth/filter_vivado.runs/.jobs/vrs_config_22.xml new file mode 100644 index 0000000..5aaf564 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_22.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_23.xml b/synth/filter_vivado.runs/.jobs/vrs_config_23.xml new file mode 100644 index 0000000..7bc15ab --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_23.xml @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_24.xml b/synth/filter_vivado.runs/.jobs/vrs_config_24.xml new file mode 100644 index 0000000..7bc15ab --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_24.xml @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_25.xml b/synth/filter_vivado.runs/.jobs/vrs_config_25.xml new file mode 100644 index 0000000..7bc15ab --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_25.xml @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_26.xml b/synth/filter_vivado.runs/.jobs/vrs_config_26.xml new file mode 100644 index 0000000..7bc15ab --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_26.xml @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_27.xml b/synth/filter_vivado.runs/.jobs/vrs_config_27.xml new file mode 100644 index 0000000..7bc15ab --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_27.xml @@ -0,0 +1,15 @@ + + + + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_28.xml b/synth/filter_vivado.runs/.jobs/vrs_config_28.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_28.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_29.xml b/synth/filter_vivado.runs/.jobs/vrs_config_29.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_29.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_30.xml b/synth/filter_vivado.runs/.jobs/vrs_config_30.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_30.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_31.xml b/synth/filter_vivado.runs/.jobs/vrs_config_31.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_31.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_32.xml b/synth/filter_vivado.runs/.jobs/vrs_config_32.xml new file mode 100644 index 0000000..5aaf564 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_32.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/.jobs/vrs_config_33.xml b/synth/filter_vivado.runs/.jobs/vrs_config_33.xml new file mode 100644 index 0000000..e8978b9 --- /dev/null +++ b/synth/filter_vivado.runs/.jobs/vrs_config_33.xml @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/impl_1/.init_design.begin.rst b/synth/filter_vivado.runs/impl_1/.init_design.begin.rst deleted file mode 100644 index 49614e7..0000000 --- a/synth/filter_vivado.runs/impl_1/.init_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/synth/filter_vivado.runs/impl_1/.init_design.end.rst b/synth/filter_vivado.runs/impl_1/.init_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/synth/filter_vivado.runs/impl_1/.opt_design.begin.rst b/synth/filter_vivado.runs/impl_1/.opt_design.begin.rst deleted file mode 100644 index 49614e7..0000000 --- a/synth/filter_vivado.runs/impl_1/.opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/synth/filter_vivado.runs/impl_1/.opt_design.end.rst b/synth/filter_vivado.runs/impl_1/.opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/synth/filter_vivado.runs/impl_1/.phys_opt_design.begin.rst b/synth/filter_vivado.runs/impl_1/.phys_opt_design.begin.rst deleted file mode 100644 index 49614e7..0000000 --- a/synth/filter_vivado.runs/impl_1/.phys_opt_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/synth/filter_vivado.runs/impl_1/.phys_opt_design.end.rst b/synth/filter_vivado.runs/impl_1/.phys_opt_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/synth/filter_vivado.runs/impl_1/.place_design.begin.rst b/synth/filter_vivado.runs/impl_1/.place_design.begin.rst deleted file mode 100644 index 49614e7..0000000 --- a/synth/filter_vivado.runs/impl_1/.place_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/synth/filter_vivado.runs/impl_1/.place_design.end.rst b/synth/filter_vivado.runs/impl_1/.place_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/synth/filter_vivado.runs/impl_1/.route_design.begin.rst b/synth/filter_vivado.runs/impl_1/.route_design.begin.rst deleted file mode 100644 index 49614e7..0000000 --- a/synth/filter_vivado.runs/impl_1/.route_design.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/synth/filter_vivado.runs/impl_1/.route_design.end.rst b/synth/filter_vivado.runs/impl_1/.route_design.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/synth/filter_vivado.runs/impl_1/.vivado.begin.rst b/synth/filter_vivado.runs/impl_1/.vivado.begin.rst index 606668e..c559654 100644 --- a/synth/filter_vivado.runs/impl_1/.vivado.begin.rst +++ b/synth/filter_vivado.runs/impl_1/.vivado.begin.rst @@ -1,25 +1,50 @@ - + - + - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/synth/filter_vivado.runs/impl_1/ISEWrap.js b/synth/filter_vivado.runs/impl_1/ISEWrap.js deleted file mode 100755 index 61806d0..0000000 --- a/synth/filter_vivado.runs/impl_1/ISEWrap.js +++ /dev/null @@ -1,270 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var wbemFlagReturnImmediately = 0x10; - var wbemFlagForwardOnly = 0x20; - var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); - var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); - var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); - var NOC = 0; - var NOLP = 0; - var TPM = 0; - var cpuInfos = new Enumerator(processor); - for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { - var cpuInfo = cpuInfos.item(); - NOC += cpuInfo.NumberOfCores; - NOLP += cpuInfo.NumberOfLogicalProcessors; - } - var csInfos = new Enumerator(computerSystem); - for(;!csInfos.atEnd(); csInfos.moveNext()) { - var csInfo = csInfos.item(); - TPM += csInfo.TotalPhysicalMemory; - } - - var ISEHOSTCORE = NOLP - var ISEMEMTOTAL = TPM - - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/synth/filter_vivado.runs/impl_1/ISEWrap.sh b/synth/filter_vivado.runs/impl_1/ISEWrap.sh deleted file mode 100755 index 05d5381..0000000 --- a/synth/filter_vivado.runs/impl_1/ISEWrap.sh +++ /dev/null @@ -1,85 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. -# - -cmd_exists() -{ - command -v "$1" >/dev/null 2>&1 -} - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! - -HostNameFile=/proc/sys/kernel/hostname -if cmd_exists hostname -then -ISE_HOST=$(hostname) -elif cmd_exists uname -then -ISE_HOST=$(uname -n) -elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] -then -ISE_HOST=$(cat $HostNameFile) -elif [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi - -ISE_USER=$USER - -ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) -ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) - -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/synth/filter_vivado.runs/impl_1/clockInfo.txt b/synth/filter_vivado.runs/impl_1/clockInfo.txt deleted file mode 100644 index c667fb8..0000000 --- a/synth/filter_vivado.runs/impl_1/clockInfo.txt +++ /dev/null @@ -1,10 +0,0 @@ -------------------------------------- -| Tool Version : Vivado v.2023.2 -| Date : Sun Dec 3 21:17:35 2023 -| Host : veronika-swiftsf11433 -| Design : design_1 -| Device : xc7k160t-ffv676-1-- -------------------------------------- - -For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US - diff --git a/synth/filter_vivado.runs/impl_1/filter.tcl b/synth/filter_vivado.runs/impl_1/filter.tcl deleted file mode 100644 index 2f30b49..0000000 --- a/synth/filter_vivado.runs/impl_1/filter.tcl +++ /dev/null @@ -1,301 +0,0 @@ -# -# Report generation script generated by Vivado -# - -proc create_report { reportName command } { - set status "." - append status $reportName ".fail" - if { [file exists $status] } { - eval file delete [glob $status] - } - send_msg_id runtcl-4 info "Executing : $command" - set retval [eval catch { $command } msg] - if { $retval != 0 } { - set fp [open $status w] - close $fp - send_msg_id runtcl-5 warning "$msg" - } -} -namespace eval ::optrace { - variable script "/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter.tcl" - variable category "vivado_impl" -} - -# Try to connect to running dispatch if we haven't done so already. -# This code assumes that the Tcl interpreter is not using threads, -# since the ::dispatch::connected variable isn't mutex protected. -if {![info exists ::dispatch::connected]} { - namespace eval ::dispatch { - variable connected false - if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { - set result "true" - if {[catch { - if {[lsearch -exact [package names] DispatchTcl] < 0} { - set result [load librdi_cd_clienttcl[info sharedlibextension]] - } - if {$result eq "false"} { - puts "WARNING: Could not load dispatch client library" - } - set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] - if { $connect_id eq "" } { - puts "WARNING: Could not initialize dispatch client" - } else { - puts "INFO: Dispatch client connection id - $connect_id" - set connected true - } - } catch_res]} { - puts "WARNING: failed to connect to dispatch server - $catch_res" - } - } - } -} -if {$::dispatch::connected} { - # Remove the dummy proc if it exists. - if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { - rename ::OPTRACE "" - } - proc ::OPTRACE { task action {tags {} } } { - ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category - } - # dispatch is generic. We specifically want to attach logging. - ::vitis_log::connect_client -} else { - # Add dummy proc if it doesn't exist. - if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { - proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { - # Do nothing - } - } -} - -proc start_step { step } { - set stopFile ".stop.rst" - if {[file isfile .stop.rst]} { - puts "" - puts "*** Halting run - EA reset detected ***" - puts "" - puts "" - return -code error - } - set beginFile ".$step.begin.rst" - set platform "$::tcl_platform(platform)" - set user "$::tcl_platform(user)" - set pid [pid] - set host "" - if { [string equal $platform unix] } { - if { [info exist ::env(HOSTNAME)] } { - set host $::env(HOSTNAME) - } elseif { [info exist ::env(HOST)] } { - set host $::env(HOST) - } - } else { - if { [info exist ::env(COMPUTERNAME)] } { - set host $::env(COMPUTERNAME) - } - } - set ch [open $beginFile w] - puts $ch "" - puts $ch "" - puts $ch " " - puts $ch " " - puts $ch "" - close $ch -} - -proc end_step { step } { - set endFile ".$step.end.rst" - set ch [open $endFile w] - close $ch -} - -proc step_failed { step } { - set endFile ".$step.error.rst" - set ch [open $endFile w] - close $ch -OPTRACE "impl_1" END { } -} - - -OPTRACE "impl_1" START { ROLLUP_1 } -OPTRACE "Phase: Init Design" START { ROLLUP_AUTO } -start_step init_design -set ACTIVE_STEP init_design -set rc [catch { - create_msg_db init_design.pb - set_param chipscope.maxJobs 1 - set_param runs.launchOptions { -jobs 2 } -OPTRACE "create in-memory project" START { } - create_project -in_memory -part xc7k160tffv676-1 - set_property design_mode GateLvl [current_fileset] - set_param project.singleFileAddWarning.threshold 0 -OPTRACE "create in-memory project" END { } -OPTRACE "set parameters" START { } - set_property webtalk.parent_dir /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.cache/wt [current_project] - set_property parent.project_path /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr [current_project] - set_property ip_output_repo /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.cache/ip [current_project] - set_property ip_cache_permissions {read write} [current_project] -OPTRACE "set parameters" END { } -OPTRACE "add files" START { } - add_files -quiet /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/filter.dcp -OPTRACE "read constraints: implementation" START { } - read_xdc /home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc -OPTRACE "read constraints: implementation" END { } -OPTRACE "read constraints: implementation_pre" START { } -OPTRACE "read constraints: implementation_pre" END { } -OPTRACE "add files" END { } -OPTRACE "link_design" START { } - link_design -top filter -part xc7k160tffv676-1 -OPTRACE "link_design" END { } -OPTRACE "gray box cells" START { } -OPTRACE "gray box cells" END { } -OPTRACE "init_design_reports" START { REPORT } -OPTRACE "init_design_reports" END { } -OPTRACE "init_design_write_hwdef" START { } -OPTRACE "init_design_write_hwdef" END { } - close_msg_db -file init_design.pb -} RESULT] -if {$rc} { - step_failed init_design - return -code error $RESULT -} else { - end_step init_design - unset ACTIVE_STEP -} - -OPTRACE "Phase: Init Design" END { } -OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO } -start_step opt_design -set ACTIVE_STEP opt_design -set rc [catch { - create_msg_db opt_design.pb -OPTRACE "read constraints: opt_design" START { } -OPTRACE "read constraints: opt_design" END { } -OPTRACE "opt_design" START { } - opt_design -OPTRACE "opt_design" END { } -OPTRACE "read constraints: opt_design_post" START { } -OPTRACE "read constraints: opt_design_post" END { } -OPTRACE "opt_design reports" START { REPORT } - create_report "impl_1_opt_report_drc_0" "report_drc -file filter_drc_opted.rpt -pb filter_drc_opted.pb -rpx filter_drc_opted.rpx" - create_report "impl_1_opt_report_timing_summary_0" "report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_opted.rpt -pb filter_timing_summary_opted.pb -rpx filter_timing_summary_opted.rpx" -OPTRACE "opt_design reports" END { } -OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT } - write_checkpoint -force filter_opt.dcp -OPTRACE "Opt Design: write_checkpoint" END { } - close_msg_db -file opt_design.pb -} RESULT] -if {$rc} { - step_failed opt_design - return -code error $RESULT -} else { - end_step opt_design - unset ACTIVE_STEP -} - -OPTRACE "Phase: Opt Design" END { } -OPTRACE "Phase: Place Design" START { ROLLUP_AUTO } -start_step place_design -set ACTIVE_STEP place_design -set rc [catch { - create_msg_db place_design.pb -OPTRACE "read constraints: place_design" START { } -OPTRACE "read constraints: place_design" END { } - if { [llength [get_debug_cores -quiet] ] > 0 } { -OPTRACE "implement_debug_core" START { } - implement_debug_core -OPTRACE "implement_debug_core" END { } - } -OPTRACE "place_design" START { } - place_design -OPTRACE "place_design" END { } -OPTRACE "read constraints: place_design_post" START { } -OPTRACE "read constraints: place_design_post" END { } -OPTRACE "place_design reports" START { REPORT } - create_report "impl_1_place_report_io_0" "report_io -file filter_io_placed.rpt" - create_report "impl_1_place_report_utilization_0" "report_utilization -file filter_utilization_placed.rpt -pb filter_utilization_placed.pb" - create_report "impl_1_place_report_control_sets_0" "report_control_sets -verbose -file filter_control_sets_placed.rpt" -OPTRACE "place_design reports" END { } -OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT } - write_checkpoint -force filter_placed.dcp -OPTRACE "Place Design: write_checkpoint" END { } - close_msg_db -file place_design.pb -} RESULT] -if {$rc} { - step_failed place_design - return -code error $RESULT -} else { - end_step place_design - unset ACTIVE_STEP -} - -OPTRACE "Phase: Place Design" END { } -OPTRACE "Phase: Physical Opt Design" START { ROLLUP_AUTO } -start_step phys_opt_design -set ACTIVE_STEP phys_opt_design -set rc [catch { - create_msg_db phys_opt_design.pb -OPTRACE "read constraints: phys_opt_design" START { } -OPTRACE "read constraints: phys_opt_design" END { } -OPTRACE "phys_opt_design" START { } - phys_opt_design -OPTRACE "phys_opt_design" END { } -OPTRACE "read constraints: phys_opt_design_post" START { } -OPTRACE "read constraints: phys_opt_design_post" END { } -OPTRACE "phys_opt_design report" START { REPORT } -OPTRACE "phys_opt_design report" END { } -OPTRACE "Post-Place Phys Opt Design: write_checkpoint" START { CHECKPOINT } - write_checkpoint -force filter_physopt.dcp -OPTRACE "Post-Place Phys Opt Design: write_checkpoint" END { } - close_msg_db -file phys_opt_design.pb -} RESULT] -if {$rc} { - step_failed phys_opt_design - return -code error $RESULT -} else { - end_step phys_opt_design - unset ACTIVE_STEP -} - -OPTRACE "Phase: Physical Opt Design" END { } -OPTRACE "Phase: Route Design" START { ROLLUP_AUTO } -start_step route_design -set ACTIVE_STEP route_design -set rc [catch { - create_msg_db route_design.pb -OPTRACE "read constraints: route_design" START { } -OPTRACE "read constraints: route_design" END { } -OPTRACE "route_design" START { } - route_design -OPTRACE "route_design" END { } -OPTRACE "read constraints: route_design_post" START { } -OPTRACE "read constraints: route_design_post" END { } -OPTRACE "route_design reports" START { REPORT } - create_report "impl_1_route_report_drc_0" "report_drc -file filter_drc_routed.rpt -pb filter_drc_routed.pb -rpx filter_drc_routed.rpx" - create_report "impl_1_route_report_methodology_0" "report_methodology -file filter_methodology_drc_routed.rpt -pb filter_methodology_drc_routed.pb -rpx filter_methodology_drc_routed.rpx" - create_report "impl_1_route_report_power_0" "report_power -file filter_power_routed.rpt -pb filter_power_summary_routed.pb -rpx filter_power_routed.rpx" - create_report "impl_1_route_report_route_status_0" "report_route_status -file filter_route_status.rpt -pb filter_route_status.pb" - create_report "impl_1_route_report_timing_summary_0" "report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_routed.rpt -pb filter_timing_summary_routed.pb -rpx filter_timing_summary_routed.rpx -warn_on_violation " - create_report "impl_1_route_report_incremental_reuse_0" "report_incremental_reuse -file filter_incremental_reuse_routed.rpt" - create_report "impl_1_route_report_clock_utilization_0" "report_clock_utilization -file filter_clock_utilization_routed.rpt" - create_report "impl_1_route_report_bus_skew_0" "report_bus_skew -warn_on_violation -file filter_bus_skew_routed.rpt -pb filter_bus_skew_routed.pb -rpx filter_bus_skew_routed.rpx" -OPTRACE "route_design reports" END { } -OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT } - write_checkpoint -force filter_routed.dcp -OPTRACE "Route Design: write_checkpoint" END { } -OPTRACE "route_design misc" START { } - close_msg_db -file route_design.pb -} RESULT] -if {$rc} { -OPTRACE "route_design write_checkpoint" START { CHECKPOINT } -OPTRACE "route_design write_checkpoint" END { } - write_checkpoint -force filter_routed_error.dcp - step_failed route_design - return -code error $RESULT -} else { - end_step route_design - unset ACTIVE_STEP -} - -OPTRACE "route_design misc" END { } -OPTRACE "Phase: Route Design" END { } -OPTRACE "impl_1" END { } diff --git a/synth/filter_vivado.runs/impl_1/filter.vdi b/synth/filter_vivado.runs/impl_1/filter.vdi deleted file mode 100644 index d8fa3db..0000000 --- a/synth/filter_vivado.runs/impl_1/filter.vdi +++ /dev/null @@ -1,1133 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2023.2 (64-bit) -# SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 -# IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 -# SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 -# Start of session at: Sun Dec 3 21:16:13 2023 -# Process ID: 50397 -# Current directory: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1 -# Command line: vivado -log filter.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace -# Log file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter.vdi -# Journal file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/vivado.jou -# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2785.806 MHz, CPU Physical cores: 4, Host memory: 3903 MB -#----------------------------------------------------------- -source filter.tcl -notrace -create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 1271.086 ; gain = 13.898 ; free physical = 453 ; free virtual = 4400 -Command: link_design -top filter -part xc7k160tffv676-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Device 21-403] Loading part xc7k160tffv676-1 -Netlist sorting complete. Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.06 . Memory (MB): peak = 1630.898 ; gain = 0.000 ; free physical = 245 ; free virtual = 4101 -INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2023.2 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1749.242 ; gain = 0.000 ; free physical = 141 ; free virtual = 4005 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -7 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 1752.246 ; gain = 481.160 ; free physical = 201 ; free virtual = 4001 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1801.070 ; gain = 48.824 ; free physical = 184 ; free virtual = 3988 - -Starting Cache Timing Information Task -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: b84391e5 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2321.945 ; gain = 520.875 ; free physical = 131 ; free virtual = 3537 - -Starting Logic Optimization Task - -Phase 1 Initialization - -Phase 1.1 Core Generation And Design Setup -Phase 1.1 Core Generation And Design Setup | Checksum: b84391e5 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 138 ; free virtual = 3239 - -Phase 1.2 Setup Constraints And Sort Netlist -Phase 1.2 Setup Constraints And Sort Netlist | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 139 ; free virtual = 3239 -Phase 1 Initialization | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 139 ; free virtual = 3239 - -Phase 2 Timer Update And Timing Data Collection - -Phase 2.1 Timer Update -Phase 2.1 Timer Update | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.57 ; elapsed = 00:00:00.33 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 144 ; free virtual = 3235 - -Phase 2.2 Timing Data Collection -Phase 2.2 Timing Data Collection | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.59 ; elapsed = 00:00:00.37 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 139 ; free virtual = 3233 -Phase 2 Timer Update And Timing Data Collection | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.59 ; elapsed = 00:00:00.37 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 139 ; free virtual = 3233 - -Phase 3 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 3 Retarget | Checksum: 9b15171f - -Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.61 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 122 ; free virtual = 3229 -Retarget | Checksum: 9b15171f -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 4 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 4 Constant propagation | Checksum: 11aad8966 - -Time (s): cpu = 00:00:00.87 ; elapsed = 00:00:00.73 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 130 ; free virtual = 3228 -Constant propagation | Checksum: 11aad8966 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 5 Sweep -Phase 5 Sweep | Checksum: 90bb4c32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.96 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 133 ; free virtual = 3226 -Sweep | Checksum: 90bb4c32 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 6 BUFG optimization -Phase 6 BUFG optimization | Checksum: 90bb4c32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 133 ; free virtual = 3226 -BUFG optimization | Checksum: 90bb4c32 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 7 Shift Register Optimization -INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 7 Shift Register Optimization | Checksum: 90bb4c32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 133 ; free virtual = 3226 -Shift Register Optimization | Checksum: 90bb4c32 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 8 Post Processing Netlist -Phase 8 Post Processing Netlist | Checksum: 90bb4c32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 133 ; free virtual = 3226 -Post Processing Netlist | Checksum: 90bb4c32 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells - -Phase 9 Finalization - -Phase 9.1 Finalizing Design Cores and Updating Shapes -Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 129 ; free virtual = 3224 - -Phase 9.2 Verifying Netlist Connectivity - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2659.766 ; gain = 0.000 ; free physical = 129 ; free virtual = 3224 -Phase 9.2 Verifying Netlist Connectivity | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 129 ; free virtual = 3224 -Phase 9 Finalization | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 129 ; free virtual = 3224 -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - -Ending Logic Optimization Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 124 ; free virtual = 3223 -INFO: [Constraints 18-11670] Building netlist checker database with flags, 0x8 -Done building netlist checker database: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2659.766 ; gain = 0.000 ; free physical = 122 ; free virtual = 3223 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -INFO: [Pwropt 34-9] Applying IDT optimizations ... -INFO: [Pwropt 34-10] Applying ODC optimizations ... - - -Starting PowerOpt Patch Enables Task -INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 36 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated. -INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports -Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 72 -Ending PowerOpt Patch Enables Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:00.23 ; elapsed = 00:00:00.23 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 253 ; free virtual = 3103 -Ending Power Optimization Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:23 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.641 ; gain = 139.875 ; free physical = 247 ; free virtual = 3101 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 247 ; free virtual = 3101 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 246 ; free virtual = 3101 -Ending Netlist Obfuscation Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 246 ; free virtual = 3101 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:43 ; elapsed = 00:00:41 . Memory (MB): peak = 2799.641 ; gain = 1047.395 ; free physical = 244 ; free virtual = 3100 -INFO: [runtcl-4] Executing : report_drc -file filter_drc_opted.rpt -pb filter_drc_opted.pb -rpx filter_drc_opted.rpx -Command: report_drc -file filter_drc_opted.rpt -pb filter_drc_opted.pb -rpx filter_drc_opted.rpx -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2023.2/data/ip'. -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_opted.rpt -pb filter_timing_summary_opted.pb -rpx filter_timing_summary_opted.rpx -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -WARNING: [Timing 38-242] The property HD.CLK_SRC of clock port "CLK" is not set. In out-of-context mode, this prevents timing estimation for clock delay/skew -Resolution: Set the HD.CLK_SRC property of the out-of-context port to the location of the clock buffer instance in the top-level design -INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 190 ; free virtual = 3050 -INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_opt.dcp' has been generated. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-83] Releasing license: Implementation -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs - -Starting Placer Task - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3034 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 3c0b3cc1 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3034 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3034 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 8ad34538 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.82 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 168 ; free virtual = 3029 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: b07d1e41 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 143 ; free virtual = 3025 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: b07d1e41 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 143 ; free virtual = 3025 -Phase 1 Placer Initialization | Checksum: b07d1e41 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 138 ; free virtual = 3024 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 9cf052e5 - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3026 - -Phase 2.2 Update Timing before SLR Path Opt -Phase 2.2 Update Timing before SLR Path Opt | Checksum: c73f8a70 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3026 - -Phase 2.3 Post-Processing in Floorplanning -Phase 2.3 Post-Processing in Floorplanning | Checksum: c73f8a70 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3026 - -Phase 2.4 Global Placement Core - -Phase 2.4.1 UpdateTiming Before Physical Synthesis -Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 19a874187 - -Time (s): cpu = 00:00:27 ; elapsed = 00:00:12 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 197 ; free virtual = 3029 - -Phase 2.4.2 Physical Synthesis In Placer -INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 4 LUT instances to create LUTNM shape -INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 -INFO: [Physopt 32-1138] End 1 Pass. Optimized 2 nets or LUTs. Breaked 0 LUT, combined 2 existing LUTs and moved 0 existing LUT -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. -INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-1123] No candidate cells found for Shift Register to Pipeline optimization -INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-1402] Pass 1: Identified 40 candidate cells for Shift Register optimization. -INFO: [Physopt 32-775] End 1 Pass. Optimized 23 nets or cells. Created 45 new cells, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 168 ; free virtual = 3029 -INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 168 ; free virtual = 3029 - -Summary of Physical Synthesis Optimizations -============================================ - - ------------------------------------------------------------------------------------------------------------------------------------------------------------ -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ------------------------------------------------------------------------------------------------------------------------------------------------------------ -| LUT Combining | 0 | 2 | 2 | 0 | 1 | 00:00:00 | -| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Shift Register | 45 | 0 | 23 | 0 | 1 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 45 | 2 | 25 | 0 | 9 | 00:00:00 | ------------------------------------------------------------------------------------------------------------------------------------------------------------ - - -Phase 2.4.2 Physical Synthesis In Placer | Checksum: 20b107fd7 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:13 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 164 ; free virtual = 3027 -Phase 2.4 Global Placement Core | Checksum: 1f000dffd - -Time (s): cpu = 00:00:31 ; elapsed = 00:00:14 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 163 ; free virtual = 3026 -Phase 2 Global Placement | Checksum: 1f000dffd - -Time (s): cpu = 00:00:31 ; elapsed = 00:00:14 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 162 ; free virtual = 3027 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1ec652ec9 - -Time (s): cpu = 00:00:33 ; elapsed = 00:00:14 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 154 ; free virtual = 3025 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 13458fbed - -Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 139 ; free virtual = 3023 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 10277a41b - -Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 138 ; free virtual = 3023 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: d226fbde - -Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 138 ; free virtual = 3023 - -Phase 3.5 Fast Optimization -Phase 3.5 Fast Optimization | Checksum: 132b21e47 - -Time (s): cpu = 00:00:41 ; elapsed = 00:00:18 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 134 ; free virtual = 3022 - -Phase 3.6 Small Shape Detail Placement -Phase 3.6 Small Shape Detail Placement | Checksum: 18283862c - -Time (s): cpu = 00:00:43 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 118 ; free virtual = 3014 - -Phase 3.7 Re-assign LUT pins -Phase 3.7 Re-assign LUT pins | Checksum: 19d13be25 - -Time (s): cpu = 00:00:44 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 117 ; free virtual = 3014 - -Phase 3.8 Pipeline Register Optimization -Phase 3.8 Pipeline Register Optimization | Checksum: 16d448768 - -Time (s): cpu = 00:00:44 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 117 ; free virtual = 3014 - -Phase 3.9 Fast Optimization -Phase 3.9 Fast Optimization | Checksum: 150dbd156 - -Time (s): cpu = 00:00:50 ; elapsed = 00:00:23 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 113 ; free virtual = 3012 -Phase 3 Detail Placement | Checksum: 150dbd156 - -Time (s): cpu = 00:00:50 ; elapsed = 00:00:23 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 113 ; free virtual = 3012 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 151f3014f - -Phase 4.1.1.1 BUFG Insertion - -Starting Physical Synthesis Task - -Phase 1 Physical Synthesis Initialization -INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.433 | TNS=-22.659 | -Phase 1 Physical Synthesis Initialization | Checksum: 1b42db68e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.55 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 221 ; free virtual = 3024 -INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. -Ending Physical Synthesis Task | Checksum: 1b42db68e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.7 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 221 ; free virtual = 3024 -Phase 4.1.1.1 BUFG Insertion | Checksum: 151f3014f - -Time (s): cpu = 00:00:58 ; elapsed = 00:00:27 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 221 ; free virtual = 3024 - -Phase 4.1.1.2 Post Placement Timing Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=-1.198. For the most accurate timing information please run report_timing. -Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:40 ; elapsed = 00:01:07 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 130 ; free virtual = 3003 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:07 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 130 ; free virtual = 3003 -Phase 4.1 Post Commit Optimization | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:07 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 130 ; free virtual = 3003 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3002 - -Phase 4.3 Placer Reporting - -Phase 4.3.1 Print Estimated Congestion -INFO: [Place 30-612] Post-Placement Estimated Congestion - ____________________________________________________ -| | Global Congestion | Short Congestion | -| Direction | Region Size | Region Size | -|___________|___________________|___________________| -| North| 1x1| 4x4| -|___________|___________________|___________________| -| South| 1x1| 4x4| -|___________|___________________|___________________| -| East| 1x1| 1x1| -|___________|___________________|___________________| -| West| 1x1| 1x1| -|___________|___________________|___________________| - -Phase 4.3.1 Print Estimated Congestion | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3002 -Phase 4.3 Placer Reporting | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3002 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3003 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3003 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 8d98dd64 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3003 -Ending Placer Task | Checksum: 46adcee8 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3003 -77 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:01:45 ; elapsed = 00:01:09 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 117 ; free virtual = 3001 -INFO: [runtcl-4] Executing : report_io -file filter_io_placed.rpt -report_io: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.44 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 225 ; free virtual = 3021 -INFO: [runtcl-4] Executing : report_utilization -file filter_utilization_placed.rpt -pb filter_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file filter_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 207 ; free virtual = 3007 -INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 206 ; free virtual = 3008 -Wrote PlaceDB: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.67 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 198 ; free virtual = 3008 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 196 ; free virtual = 3008 -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 185 ; free virtual = 3005 -Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 184 ; free virtual = 3005 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 184 ; free virtual = 3005 -Write Physdb Complete: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.82 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 184 ; free virtual = 3005 -INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_placed.dcp' has been generated. -Command: phys_opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' - -Starting Initial Update Timing Task -WARNING: [Timing 38-242] The property HD.CLK_SRC of clock port "CLK" is not set. In out-of-context mode, this prevents timing estimation for clock delay/skew -Resolution: Set the HD.CLK_SRC property of the out-of-context port to the location of the clock buffer instance in the top-level design - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 172 ; free virtual = 2990 -INFO: [Vivado_Tcl 4-1435] PhysOpt_Tcl_Interface Runtime Before Starting Physical Synthesis Task | CPU: 3.88s | WALL: 1.78s -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 172 ; free virtual = 2990 - -Starting Physical Synthesis Task - -Phase 1 Physical Synthesis Initialization -INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.198 | TNS=-18.999 | -Phase 1 Physical Synthesis Initialization | Checksum: eda825fd - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 162 ; free virtual = 2992 -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.198 | TNS=-18.999 | - -Phase 2 DSP Register Optimization -INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. -INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Phase 2 DSP Register Optimization | Checksum: eda825fd - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 162 ; free virtual = 2992 - -Phase 3 Critical Path Optimization -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.198 | TNS=-18.999 | -INFO: [Physopt 32-702] Processed net OUTPUT_KEY_FOUND. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][40]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/out_key_found13_out. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_119_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_135_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.188 | TNS=-18.837 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][31]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/CO[0]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_10_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_20_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_34_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_49_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_64_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_79_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_94_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_109_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_124_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_143_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.179 | TNS=-18.828 | -INFO: [Physopt 32-702] Processed net OUTPUT_DATA[13]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][58]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-710] Processed net storage_generate[2].storage/D[13]. Critical path length was reduced through logic transformation on cell storage_generate[2].storage/registered_output.OUTPUT_DATA[13]_i_1_comp. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/memory_reg_0_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.179 | TNS=-18.809 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][37]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_136_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.177 | TNS=-18.754 | -INFO: [Physopt 32-702] Processed net OUTPUT_DATA[10]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_reg_0_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/out_key_found1. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_25_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_39_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_54_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_69_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_84_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_99_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_114_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_132_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.164 | TNS=-18.128 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][25]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_139_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_154_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.144 | TNS=-18.108 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][58]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_107_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.144 | TNS=-18.108 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.136 | TNS=-18.053 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][22]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_155_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.136 | TNS=-18.053 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][42]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_123_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.133 | TNS=-18.027 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_127_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.132 | TNS=-18.017 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][37]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_129_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_144_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_159_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.123 | TNS=-17.883 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][76]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_115_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.123 | TNS=-17.789 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][73]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_91_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.121 | TNS=-17.735 | -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/memory_rule[2][22]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/memory_reg_0_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/CO[0]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.115 | TNS=-17.724 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][78]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_103_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.110 | TNS=-17.419 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][18]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_156_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.101 | TNS=-17.410 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][40]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_140_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.098 | TNS=-17.407 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][81]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_77_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.097 | TNS=-17.376 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][81]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_82_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.094 | TNS=-17.369 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][22]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_157_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_164_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.090 | TNS=-17.264 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][27]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_153_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.087 | TNS=-17.260 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][40]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_158_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.086 | TNS=-17.215 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][94]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_67_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.084 | TNS=-17.213 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][25]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_163_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.084 | TNS=-16.983 | -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/memory_rule[2][17]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_56_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 6 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/memory_reg_0_16. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.078 | TNS=-16.977 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][48]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_121_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.072 | TNS=-16.932 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_reg_0_16. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net OUTPUT_KEY_FOUND. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/out_key_found13_out. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_reg_0_16. Optimizations did not improve timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.072 | TNS=-16.932 | -Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 143 ; free virtual = 2984 -Phase 3 Critical Path Optimization | Checksum: eda825fd - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2984 - -Phase 4 Critical Path Optimization -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.072 | TNS=-16.932 | -INFO: [Physopt 32-702] Processed net OUTPUT_KEY_FOUND. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/out_key_found13_out. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_reg_0_16. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net OUTPUT_KEY_FOUND. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/out_key_found13_out. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_reg_0_16. Optimizations did not improve timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.072 | TNS=-16.932 | -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -Phase 4 Critical Path Optimization | Checksum: eda825fd - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -INFO: [Physopt 32-603] Post Physical Optimization Timing Summary | WNS=-1.072 | TNS=-16.932 | - -Summary of Physical Synthesis Optimizations -============================================ - - -------------------------------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | WNS Gain (ns) | TNS Gain (ns) | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | -------------------------------------------------------------------------------------------------------------------------------------------------------------- -| DSP Register | 0.000 | 0.000 | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Critical Path | 0.126 | 2.067 | 0 | 0 | 27 | 0 | 2 | 00:00:05 | -| Total | 0.126 | 2.067 | 0 | 0 | 27 | 0 | 3 | 00:00:05 | -------------------------------------------------------------------------------------------------------------------------------------------------------------- - - -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -Ending Physical Synthesis Task | Checksum: a12a07e7 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -INFO: [Common 17-83] Releasing license: Implementation -276 Infos, 7 Warnings, 0 Critical Warnings and 0 Errors encountered. -phys_opt_design completed successfully -phys_opt_design: Time (s): cpu = 00:00:13 ; elapsed = 00:00:08 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 134 ; free virtual = 2984 -Wrote PlaceDB: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.73 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 120 ; free virtual = 2976 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 120 ; free virtual = 2976 -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 120 ; free virtual = 2976 -Wrote Netlist Cache: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 119 ; free virtual = 2977 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 119 ; free virtual = 2977 -Write Physdb Complete: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.8 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 119 ; free virtual = 2977 -INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_physopt.dcp' has been generated. -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs - -Phase 1 Build RT Design -Checksum: PlaceDB: 578213a9 ConstDB: 0 ShapeSum: 2223e39e RouteDB: 0 -WARNING: [Route 35-197] Clock port "CLK" does not have an associated HD.CLK_SRC. Without this constraint, timing analysis may not be accurate and upstream checks cannot be done to ensure correct clock placement. -WARNING: [Route 35-198] Port "RESET" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "RESET". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_VALID" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_VALID". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[102]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[102]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[98]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[98]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[100]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[100]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[94]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[94]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[106]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[106]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[107]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[107]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[104]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[104]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[113]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[113]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[108]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[108]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[105]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[105]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[124]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[124]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[125]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[125]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[126]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[126]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[127]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[127]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[120]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[120]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[121]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[121]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[122]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[122]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[123]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[123]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[116]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[116]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[118]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[118]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[117]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[117]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[119]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[119]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[112]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[112]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[114]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[114]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[91]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[91]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[115]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[115]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[96]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[96]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[101]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[101]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[93]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[93]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[99]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[99]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[92]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[92]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[103]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[103]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[63]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[63]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[127]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[127]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[95]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[95]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[97]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[97]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[118]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[118]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[112]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[112]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[122]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[122]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[120]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[120]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[124]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[124]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[60]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[60]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[31]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[31]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[30]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[30]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[57]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[57]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[52]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[52]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[94]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[94]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[62]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[62]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[61]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[61]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[56]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[56]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[116]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[116]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[114]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[114]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[123]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[123]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[126]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[126]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[125]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[125]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[59]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[59]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[58]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[58]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[121]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[121]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[110]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[110]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[119]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[119]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[117]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[117]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[109]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[109]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[55]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[55]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[95]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[95]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[29]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[29]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[28]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[28]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[27]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[27]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[53]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[53]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[88]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[88]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[93]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[93]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[91]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[91]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[108]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[108]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[109]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[109]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[110]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[110]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[111]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[111]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[104]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[104]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[105]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[105]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[106]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[106]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[107]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[107]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[115]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[115]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[113]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[113]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[111]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[111]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[70]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[70]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[68]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[68]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[60]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[60]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[58]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[58]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[66]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[66]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[64]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[64]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[86]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[86]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[72]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[72]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[69]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[69]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[65]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[65]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[62]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[62]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[92]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[92]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[89]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[89]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[87]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[87]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[71]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[71]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[100]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[100]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -INFO: [Common 17-14] Message 'Route 35-198' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -WARNING: [Constraints 18-8777] Unable to split tiles. All required files are not available. -Post Restoration Checksum: NetGraph: f8c3490f | NumContArr: f1ba4717 | Constraints: c2a8fa9d | Timing: c2a8fa9d -Phase 1 Build RT Design | Checksum: 36fcf8560 - -Time (s): cpu = 00:00:54 ; elapsed = 00:00:37 . Memory (MB): peak = 2959.430 ; gain = 116.758 ; free physical = 110 ; free virtual = 2852 - -Phase 2 Router Initialization - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 36fcf8560 - -Time (s): cpu = 00:00:54 ; elapsed = 00:00:37 . Memory (MB): peak = 2959.430 ; gain = 116.758 ; free physical = 110 ; free virtual = 2852 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 36fcf8560 - -Time (s): cpu = 00:00:54 ; elapsed = 00:00:37 . Memory (MB): peak = 2959.430 ; gain = 116.758 ; free physical = 110 ; free virtual = 2852 - Number of Nodes with overlaps = 0 - -Phase 2.3 Update Timing -Phase 2.3 Update Timing | Checksum: 25dc24139 - -Time (s): cpu = 00:01:02 ; elapsed = 00:00:41 . Memory (MB): peak = 2987.664 ; gain = 144.992 ; free physical = 151 ; free virtual = 2802 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.064 | TNS=-15.944| WHS=-0.016 | THS=-0.032 | - - -Router Utilization Summary - Global Vertical Routing Utilization = 0 % - Global Horizontal Routing Utilization = 0 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 7074 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 7074 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 2 Router Initialization | Checksum: 20e1bf80c - -Time (s): cpu = 00:01:07 ; elapsed = 00:00:42 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 145 ; free virtual = 2798 - -Phase 3 Initial Routing - -Phase 3.1 Global Routing -Phase 3.1 Global Routing | Checksum: 20e1bf80c - -Time (s): cpu = 00:01:07 ; elapsed = 00:00:42 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 145 ; free virtual = 2798 - -Phase 3.2 Initial Net Routing -Phase 3.2 Initial Net Routing | Checksum: 2312d3ca6 - -Time (s): cpu = 00:01:13 ; elapsed = 00:00:44 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 145 ; free virtual = 2797 -Phase 3 Initial Routing | Checksum: 2312d3ca6 - -Time (s): cpu = 00:01:13 ; elapsed = 00:00:44 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 145 ; free virtual = 2797 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 206 - Number of Nodes with overlaps = 74 - Number of Nodes with overlaps = 9 - Number of Nodes with overlaps = 6 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.252 | TNS=-31.493| WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 2cac37e0d - -Time (s): cpu = 00:01:24 ; elapsed = 00:00:52 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 204 ; free virtual = 2786 - -Phase 4.2 Global Iteration 1 - Number of Nodes with overlaps = 95 - Number of Nodes with overlaps = 43 - Number of Nodes with overlaps = 17 - Number of Nodes with overlaps = 9 - Number of Nodes with overlaps = 5 - Number of Nodes with overlaps = 2 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.255 | TNS=-31.100| WHS=N/A | THS=N/A | - -Phase 4.2 Global Iteration 1 | Checksum: 2687e85da - -Time (s): cpu = 00:01:33 ; elapsed = 00:00:58 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 199 ; free virtual = 2783 -Phase 4 Rip-up And Reroute | Checksum: 2687e85da - -Time (s): cpu = 00:01:33 ; elapsed = 00:00:59 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 199 ; free virtual = 2783 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp - -Phase 5.1.1 Update Timing -Phase 5.1.1 Update Timing | Checksum: 2fe6ca81b - -Time (s): cpu = 00:01:34 ; elapsed = 00:00:59 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 199 ; free virtual = 2783 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.252 | TNS=-31.493| WHS=N/A | THS=N/A | - - Number of Nodes with overlaps = 0 -Phase 5.1 Delay CleanUp | Checksum: 187ef8df4 - -Time (s): cpu = 00:03:37 ; elapsed = 00:01:35 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 187ef8df4 - -Time (s): cpu = 00:03:37 ; elapsed = 00:01:35 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 -Phase 5 Delay and Skew Optimization | Checksum: 187ef8df4 - -Time (s): cpu = 00:03:37 ; elapsed = 00:01:35 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 1ec99cbab - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2608 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.240 | TNS=-22.556| WHS=0.038 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 23943ec6b - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2608 -Phase 6 Post Hold Fix | Checksum: 23943ec6b - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2608 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.939418 % - Global Horizontal Routing Utilization = 1.24595 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - - ---GLOBAL Congestion: -Utilization threshold used for congestion level computation: 0.85 -Congestion Report -North Dir 1x1 Area, Max Cong = 50.4505%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 60.3604%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 63.2353%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 52.9412%, No Congested Regions. - ------------------------------- -Reporting congestion hotspots ------------------------------- -Direction: North ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: South ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: East ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: West ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 - -Phase 7 Route finalize | Checksum: 23943ec6b - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 23943ec6b - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1ef2bc857 - -Time (s): cpu = 00:03:39 ; elapsed = 00:01:37 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 128 ; free virtual = 2600 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=-1.240 | TNS=-22.556| WHS=0.038 | THS=0.000 | - -WARNING: [Route 35-328] Router estimated timing not met. -Resolution: For a complete and accurate timing signoff, report_timing_summary must be run after route_design. Alternatively, route_design can be run with the -timing_summary option to enable a complete timing signoff at the end of route_design. -Phase 10 Post Router Timing | Checksum: 1ef2bc857 - -Time (s): cpu = 00:03:41 ; elapsed = 00:01:37 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 127 ; free virtual = 2599 -INFO: [Route 35-16] Router Completed Successfully - -Phase 11 Post-Route Event Processing -Phase 11 Post-Route Event Processing | Checksum: 105362d16 - -Time (s): cpu = 00:03:41 ; elapsed = 00:01:38 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 125 ; free virtual = 2599 -Ending Routing Task | Checksum: 105362d16 - -Time (s): cpu = 00:03:41 ; elapsed = 00:01:38 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 125 ; free virtual = 2599 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -295 Infos, 110 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:03:46 ; elapsed = 00:01:40 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 109 ; free virtual = 2596 -INFO: [runtcl-4] Executing : report_drc -file filter_drc_routed.rpt -pb filter_drc_routed.pb -rpx filter_drc_routed.rpx -Command: report_drc -file filter_drc_routed.rpt -pb filter_drc_routed.pb -rpx filter_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file filter_methodology_drc_routed.rpt -pb filter_methodology_drc_routed.pb -rpx filter_methodology_drc_routed.rpx -Command: report_methodology -file filter_methodology_drc_routed.rpt -pb filter_methodology_drc_routed.pb -rpx filter_methodology_drc_routed.rpx -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Timing 38-242] The property HD.CLK_SRC of clock port "CLK" is not set. In out-of-context mode, this prevents timing estimation for clock delay/skew -Resolution: Set the HD.CLK_SRC property of the out-of-context port to the location of the clock buffer instance in the top-level design -INFO: [DRC 23-133] Running Methodology with 4 threads -INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.rpt. -report_methodology completed successfully -report_methodology: Time (s): cpu = 00:00:12 ; elapsed = 00:00:05 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 197 ; free virtual = 2603 -INFO: [runtcl-4] Executing : report_power -file filter_power_routed.rpt -pb filter_power_summary_routed.pb -rpx filter_power_routed.rpx -Command: report_power -file filter_power_routed.rpt -pb filter_power_summary_routed.pb -rpx filter_power_routed.rpx -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -305 Infos, 113 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file filter_route_status.rpt -pb filter_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_routed.rpt -pb filter_timing_summary_routed.pb -rpx filter_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -CRITICAL WARNING: [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations. -INFO: [runtcl-4] Executing : report_incremental_reuse -file filter_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file filter_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file filter_bus_skew_routed.rpt -pb filter_bus_skew_routed.pb -rpx filter_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 129 ; free virtual = 2580 -Wrote PlaceDB: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.77 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 119 ; free virtual = 2577 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 119 ; free virtual = 2577 -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 118 ; free virtual = 2577 -Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 117 ; free virtual = 2577 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 117 ; free virtual = 2577 -Write Physdb Complete: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.96 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 117 ; free virtual = 2577 -INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_routed.dcp' has been generated. -INFO: [Common 17-206] Exiting Vivado at Sun Dec 3 21:20:46 2023... diff --git a/synth/filter_vivado.runs/impl_1/filter_147960.backup.vdi b/synth/filter_vivado.runs/impl_1/filter_147960.backup.vdi new file mode 100644 index 0000000..328ce22 --- /dev/null +++ b/synth/filter_vivado.runs/impl_1/filter_147960.backup.vdi @@ -0,0 +1,446 @@ +#----------------------------------------------------------- +# Vivado v2023.2 (64-bit) +# SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 +# IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 +# SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 +# Start of session at: Mon Dec 4 22:37:41 2023 +# Process ID: 147960 +# Current directory: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1 +# Command line: vivado -log filter.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace +# Log file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter.vdi +# Journal file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/vivado.jou +# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2785.745 MHz, CPU Physical cores: 4, Host memory: 3903 MB +#----------------------------------------------------------- +source filter.tcl -notrace +create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 1309.508 ; gain = 0.023 ; free physical = 428 ; free virtual = 5957 +Command: link_design -top filter -part xc7k160tffv676-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7k160tffv676-1 +Netlist sorting complete. Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.06 . Memory (MB): peak = 1630.383 ; gain = 0.000 ; free physical = 119 ; free virtual = 5562 +INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2023.2 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] +WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] +Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1748.727 ; gain = 0.000 ; free physical = 123 ; free virtual = 5292 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +7 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 1751.730 ; gain = 442.223 ; free physical = 167 ; free virtual = 5285 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 1802.523 ; gain = 50.793 ; free physical = 135 ; free virtual = 5244 + +Starting Cache Timing Information Task +WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: c501db34 + +Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 2321.430 ; gain = 518.906 ; free physical = 188 ; free virtual = 4846 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: c501db34 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 124 ; free virtual = 4604 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: c501db34 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 152 ; free virtual = 4603 +Phase 1 Initialization | Checksum: c501db34 + +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 164 ; free virtual = 4604 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: c501db34 + +Time (s): cpu = 00:00:00.76 ; elapsed = 00:00:00.35 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 199 ; free virtual = 4603 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: c501db34 + +Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.4 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 185 ; free virtual = 4599 +Phase 2 Timer Update And Timing Data Collection | Checksum: c501db34 + +Time (s): cpu = 00:00:00.79 ; elapsed = 00:00:00.4 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 185 ; free virtual = 4599 + +Phase 3 Retarget +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: a3d35512 + +Time (s): cpu = 00:00:00.96 ; elapsed = 00:00:00.68 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 133 ; free virtual = 4583 +Retarget | Checksum: a3d35512 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: e34d5785 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.82 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 151 ; free virtual = 4576 +Constant propagation | Checksum: e34d5785 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: e123e2a4 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2626.180 ; gain = 0.000 ; free physical = 137 ; free virtual = 4575 +Sweep | Checksum: e123e2a4 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: e123e2a4 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2658.195 ; gain = 32.016 ; free physical = 127 ; free virtual = 4574 +BUFG optimization | Checksum: e123e2a4 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: e123e2a4 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2658.195 ; gain = 32.016 ; free physical = 127 ; free virtual = 4574 +Shift Register Optimization | Checksum: e123e2a4 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: e123e2a4 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2658.195 ; gain = 32.016 ; free physical = 126 ; free virtual = 4578 +Post Processing Netlist | Checksum: e123e2a4 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 198845eae + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2658.195 ; gain = 32.016 ; free physical = 232 ; free virtual = 4593 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2658.195 ; gain = 0.000 ; free physical = 230 ; free virtual = 4592 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 198845eae + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2658.195 ; gain = 32.016 ; free physical = 230 ; free virtual = 4592 +Phase 9 Finalization | Checksum: 198845eae + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2658.195 ; gain = 32.016 ; free physical = 230 ; free virtual = 4592 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 0 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 0 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 198845eae + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2658.195 ; gain = 32.016 ; free physical = 230 ; free virtual = 4592 +INFO: [Constraints 18-11670] Building netlist checker database with flags, 0x8 +Done building netlist checker database: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2658.195 ; gain = 0.000 ; free physical = 230 ; free virtual = 4592 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +INFO: [Pwropt 34-9] Applying IDT optimizations ... +INFO: [Pwropt 34-10] Applying ODC optimizations ... + + +Starting PowerOpt Patch Enables Task +INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 36 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated. +INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports +Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 72 +Ending PowerOpt Patch Enables Task | Checksum: 198845eae + +Time (s): cpu = 00:00:00.23 ; elapsed = 00:00:00.24 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 174 ; free virtual = 4483 +Ending Power Optimization Task | Checksum: 198845eae + +Time (s): cpu = 00:00:23 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.070 ; gain = 140.875 ; free physical = 165 ; free virtual = 4482 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 198845eae + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 165 ; free virtual = 4482 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 154 ; free virtual = 4480 +Ending Netlist Obfuscation Task | Checksum: 198845eae + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 154 ; free virtual = 4480 +INFO: [Common 17-83] Releasing license: Implementation +30 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:48 ; elapsed = 00:00:46 . Memory (MB): peak = 2799.070 ; gain = 1047.340 ; free physical = 144 ; free virtual = 4480 +INFO: [runtcl-4] Executing : report_drc -file filter_drc_opted.rpt -pb filter_drc_opted.pb -rpx filter_drc_opted.rpx +Command: report_drc -file filter_drc_opted.rpt -pb filter_drc_opted.pb -rpx filter_drc_opted.rpx +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2023.2/data/ip'. +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpt. +report_drc completed successfully +INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_opted.rpt -pb filter_timing_summary_opted.pb -rpx filter_timing_summary_opted.rpx +WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +WARNING: [Timing 38-242] The property HD.CLK_SRC of clock port "CLK" is not set. In out-of-context mode, this prevents timing estimation for clock delay/skew +Resolution: Set the HD.CLK_SRC property of the out-of-context port to the location of the clock buffer instance in the top-level design +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 161 ; free virtual = 4498 +INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 4 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 135 ; free virtual = 4509 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: d8cd780a + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 136 ; free virtual = 4509 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 135 ; free virtual = 4509 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 8ad34538 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.86 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 186 ; free virtual = 4538 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 148eef814 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 188 ; free virtual = 4547 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 148eef814 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 188 ; free virtual = 4547 +Phase 1 Placer Initialization | Checksum: 148eef814 + +Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 188 ; free virtual = 4546 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1133dee1f + +Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 182 ; free virtual = 4545 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 1708a7738 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 182 ; free virtual = 4545 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 1708a7738 + +Time (s): cpu = 00:00:09 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 182 ; free virtual = 4545 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 127fd4737 + +Time (s): cpu = 00:00:27 ; elapsed = 00:00:12 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 156 ; free virtual = 4535 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 4 LUT instances to create LUTNM shape +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 2 nets or LUTs. Breaked 0 LUT, combined 2 existing LUTs and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1123] No candidate cells found for Shift Register to Pipeline optimization +INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-1402] Pass 1: Identified 59 candidate cells for Shift Register optimization. +INFO: [Physopt 32-775] End 1 Pass. Optimized 37 nets or cells. Created 73 new cells, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 140 ; free virtual = 4534 +INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 140 ; free virtual = 4534 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 2 | 2 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Shift Register | 73 | 0 | 37 | 0 | 1 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 73 | 2 | 39 | 0 | 9 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 182366011 + +Time (s): cpu = 00:00:29 ; elapsed = 00:00:13 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 140 ; free virtual = 4534 +Phase 2.4 Global Placement Core | Checksum: 1bced18a5 + +Time (s): cpu = 00:00:31 ; elapsed = 00:00:13 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 140 ; free virtual = 4534 +Phase 2 Global Placement | Checksum: 1bced18a5 + +Time (s): cpu = 00:00:31 ; elapsed = 00:00:13 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 140 ; free virtual = 4534 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1a3664554 + +Time (s): cpu = 00:00:33 ; elapsed = 00:00:14 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 139 ; free virtual = 4533 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1a922434a + +Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 138 ; free virtual = 4533 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 17277ad37 + +Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 138 ; free virtual = 4533 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 210ee07c3 + +Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 138 ; free virtual = 4533 + +Phase 3.5 Fast Optimization +Phase 3.5 Fast Optimization | Checksum: 174a33b00 + +Time (s): cpu = 00:00:41 ; elapsed = 00:00:18 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 136 ; free virtual = 4531 + +Phase 3.6 Small Shape Detail Placement +Phase 3.6 Small Shape Detail Placement | Checksum: 1963521b5 + +Time (s): cpu = 00:00:44 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 123 ; free virtual = 4526 + +Phase 3.7 Re-assign LUT pins +Phase 3.7 Re-assign LUT pins | Checksum: 11690d3e7 + +Time (s): cpu = 00:00:44 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 123 ; free virtual = 4525 + +Phase 3.8 Pipeline Register Optimization +Phase 3.8 Pipeline Register Optimization | Checksum: 193172d63 + +Time (s): cpu = 00:00:44 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 123 ; free virtual = 4526 + +Phase 3.9 Fast Optimization +Phase 3.9 Fast Optimization | Checksum: 143f97dd6 + +Time (s): cpu = 00:00:50 ; elapsed = 00:00:23 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 122 ; free virtual = 4525 +Phase 3 Detail Placement | Checksum: 143f97dd6 + +Time (s): cpu = 00:00:50 ; elapsed = 00:00:23 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 122 ; free virtual = 4525 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 1cb7827e6 + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.576 | TNS=-25.567 | +Phase 1 Physical Synthesis Initialization | Checksum: 11da6909f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.54 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 120 ; free virtual = 4525 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 11da6909f + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.7 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 120 ; free virtual = 4525 +Phase 4.1.1.1 BUFG Insertion | Checksum: 1cb7827e6 + +Time (s): cpu = 00:00:58 ; elapsed = 00:00:26 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 120 ; free virtual = 4525 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Common 17-41] Interrupt caught. Command should exit soon. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1fb5672e7 + +Time (s): cpu = 00:01:50 ; elapsed = 00:01:22 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 104 ; free virtual = 4784 + +Time (s): cpu = 00:01:50 ; elapsed = 00:01:22 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 102 ; free virtual = 4784 +Phase 4.1 Post Commit Optimization | Checksum: 1fb5672e7 + +Time (s): cpu = 00:01:50 ; elapsed = 00:01:22 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 109 ; free virtual = 4782 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1fb5672e7 + +Time (s): cpu = 00:01:50 ; elapsed = 00:01:22 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 116 ; free virtual = 4781 +INFO: [Place 30-491] Placement has been cancelled by the user. +Ending Placer Task | Checksum: 10e3da2ff + +Time (s): cpu = 00:01:50 ; elapsed = 00:01:23 . Memory (MB): peak = 2799.070 ; gain = 0.000 ; free physical = 110 ; free virtual = 4780 +INFO: [Common 17-41] Interrupt caught. Command should exit soon. +78 Infos, 6 Warnings, 0 Critical Warnings and 1 Errors encountered. +place_design failed +INFO: [Common 17-344] 'place_design' was cancelled +INFO: [Common 17-344] 'source' was cancelled +INFO: [Common 17-206] Exiting Vivado at Mon Dec 4 22:40:30 2023... diff --git a/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.pb b/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.pb deleted file mode 100644 index 3390588..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.rpt b/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.rpt deleted file mode 100644 index a4387d1..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.rpt +++ /dev/null @@ -1,16 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:20:44 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_bus_skew -warn_on_violation -file filter_bus_skew_routed.rpt -pb filter_bus_skew_routed.pb -rpx filter_bus_skew_routed.rpx -| Design : filter -| Device : 7k160t-ffv676 -| Speed File : -1 PRODUCTION 1.12 2017-02-17 -| Design State : Routed ---------------------------------------------------------------------------------------------------------------------------------------------------- - -Bus Skew Report - -No bus skew constraints - diff --git a/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.rpx b/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.rpx deleted file mode 100644 index fd19eaa..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_bus_skew_routed.rpx and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_clock_utilization_routed.rpt b/synth/filter_vivado.runs/impl_1/filter_clock_utilization_routed.rpt deleted file mode 100644 index 8e6dfd3..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_clock_utilization_routed.rpt +++ /dev/null @@ -1,99 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:20:44 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_clock_utilization -file filter_clock_utilization_routed.rpt -| Design : filter -| Device : 7k160t-ffv676 -| Speed File : -1 PRODUCTION 1.12 2017-02-17 -| Design State : Routed ---------------------------------------------------------------------------------------------------------------------------------------------- - -Clock Utilization Report - -Table of Contents ------------------ -1. Clock Primitive Utilization -2. Global Clock Resources -3. Global Clock Source Details -4. Clock Regions: Key Resource Utilization -5. Clock Regions : Global Clock Summary - -1. Clock Primitive Utilization ------------------------------- - -+----------+------+-----------+-----+--------------+--------+ -| Type | Used | Available | LOC | Clock Region | Pblock | -+----------+------+-----------+-----+--------------+--------+ -| BUFGCTRL | 0 | 32 | 0 | 0 | 0 | -| BUFH | 0 | 120 | 0 | 0 | 0 | -| BUFIO | 0 | 32 | 0 | 0 | 0 | -| BUFMR | 0 | 16 | 0 | 0 | 0 | -| BUFR | 0 | 32 | 0 | 0 | 0 | -| MMCM | 0 | 8 | 0 | 0 | 0 | -| PLL | 0 | 8 | 0 | 0 | 0 | -+----------+------+-----------+-----+--------------+--------+ - - -2. Global Clock Resources -------------------------- - -+-----------+-----------+-----------------+------------+------+--------------+-------------------+-------------+-----------------+--------------+-------+------------+-----+ -| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+------+--------------+-------------------+-------------+-----------------+--------------+-------+------------+-----+ -* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered -** Non-Clock Loads column represents cell count of non-clock pin loads - - -3. Global Clock Source Details ------------------------------- - -+-----------+-----------+-----------------+------------+------+--------------+-------------+-----------------+---------------------+--------------+------------+-----+ -| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | -+-----------+-----------+-----------------+------------+------+--------------+-------------+-----------------+---------------------+--------------+------------+-----+ -* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered -** Non-Clock Loads column represents cell count of non-clock pin loads - - -4. Clock Regions: Key Resource Utilization ------------------------------------------- - -+-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ -| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | -| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | -| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 950 | 0 | 80 | 0 | 40 | 0 | 60 | -| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2200 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y3 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 2150 | 0 | 800 | 0 | 50 | 0 | 25 | 0 | 60 | -| X0Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2800 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -| X1Y4 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2300 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 60 | -+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -* Global Clock column represents track count; while other columns represents cell counts - - -5. Clock Regions : Global Clock Summary ---------------------------------------- - -All Modules -+----+----+----+ -| | X0 | X1 | -+----+----+----+ -| Y4 | 0 | 0 | -| Y3 | 0 | 0 | -| Y2 | 0 | 0 | -| Y1 | 0 | 0 | -| Y0 | 0 | 0 | -+----+----+----+ - - - -# Location of IO Primitives which is load of clock spine - -# Location of clock ports diff --git a/synth/filter_vivado.runs/impl_1/filter_control_sets_placed.rpt b/synth/filter_vivado.runs/impl_1/filter_control_sets_placed.rpt deleted file mode 100644 index fed7246..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_control_sets_placed.rpt +++ /dev/null @@ -1,80 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:18:40 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_control_sets -verbose -file filter_control_sets_placed.rpt -| Design : filter -| Device : xc7k160t ---------------------------------------------------------------------------------------------------------------------------------------------- - -Control Set Information - -Table of Contents ------------------ -1. Summary -2. Histogram -3. Flip-Flop Distribution -4. Detailed Control Set Information - -1. Summary ----------- - -+----------------------------------------------------------+-------+ -| Status | Count | -+----------------------------------------------------------+-------+ -| Total control sets | 2 | -| Minimum number of control sets | 2 | -| Addition due to synthesis replication | 0 | -| Addition due to physical synthesis replication | 0 | -| Unused register locations in slices containing registers | 9 | -+----------------------------------------------------------+-------+ -* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers -** Run report_qor_suggestions for automated merging and remapping suggestions - - -2. Histogram ------------- - -+--------------------+-------+ -| Fanout | Count | -+--------------------+-------+ -| Total control sets | 2 | -| >= 0 to < 4 | 0 | -| >= 4 to < 6 | 1 | -| >= 6 to < 8 | 0 | -| >= 8 to < 10 | 0 | -| >= 10 to < 12 | 0 | -| >= 12 to < 14 | 0 | -| >= 14 to < 16 | 0 | -| >= 16 | 1 | -+--------------------+-------+ -* Control sets can be remapped at either synth_design or opt_design - - -3. Flip-Flop Distribution -------------------------- - -+--------------+-----------------------+------------------------+-----------------+--------------+ -| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | -+--------------+-----------------------+------------------------+-----------------+--------------+ -| No | No | No | 4835 | 1097 | -| No | No | Yes | 0 | 0 | -| No | Yes | No | 4 | 3 | -| Yes | No | No | 0 | 0 | -| Yes | No | Yes | 0 | 0 | -| Yes | Yes | No | 0 | 0 | -+--------------+-----------------------+------------------------+-----------------+--------------+ - - -4. Detailed Control Set Information ------------------------------------ - -+--------------+---------------+------------------+------------------+----------------+--------------+ -| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | Bels / Slice | -+--------------+---------------+------------------+------------------+----------------+--------------+ -| CLK | | RESET | 3 | 4 | 1.33 | -| CLK | | | 1098 | 4996 | 4.55 | -+--------------+---------------+------------------+------------------+----------------+--------------+ - - diff --git a/synth/filter_vivado.runs/impl_1/filter_drc_opted.pb b/synth/filter_vivado.runs/impl_1/filter_drc_opted.pb deleted file mode 100644 index 70698d1..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_drc_opted.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpt b/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpt deleted file mode 100644 index ad0ff4d..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpt +++ /dev/null @@ -1,49 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:17:27 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_drc -file filter_drc_opted.rpt -pb filter_drc_opted.pb -rpx filter_drc_opted.rpx -| Design : filter -| Device : xc7k160tffv676-1 -| Speed File : -1 -| Design State : Synthesized ---------------------------------------------------------------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Ruledeck: default - Max violations: - Violations found: 1 -+----------+----------+-----------------------------------------------------+------------+ -| Rule | Severity | Description | Violations | -+----------+----------+-----------------------------------------------------+------------+ -| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | -+----------+----------+-----------------------------------------------------+------------+ - -2. REPORT DETAILS ------------------ -CFGBVS-1#1 Warning -Missing CFGBVS and CONFIG_VOLTAGE Design Properties -Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -Related violations: - - diff --git a/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpx b/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpx deleted file mode 100644 index 635a2fe..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpx and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_drc_routed.pb b/synth/filter_vivado.runs/impl_1/filter_drc_routed.pb deleted file mode 100644 index 70698d1..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_drc_routed.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_drc_routed.rpt b/synth/filter_vivado.runs/impl_1/filter_drc_routed.rpt deleted file mode 100644 index 9b726be..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_drc_routed.rpt +++ /dev/null @@ -1,49 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:20:35 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_drc -file filter_drc_routed.rpt -pb filter_drc_routed.pb -rpx filter_drc_routed.rpx -| Design : filter -| Device : xc7k160tffv676-1 -| Speed File : -1 -| Design State : Fully Routed ---------------------------------------------------------------------------------------------------------------------------------------------- - -Report DRC - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Ruledeck: default - Max violations: - Violations found: 1 -+----------+----------+-----------------------------------------------------+------------+ -| Rule | Severity | Description | Violations | -+----------+----------+-----------------------------------------------------+------------+ -| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | -+----------+----------+-----------------------------------------------------+------------+ - -2. REPORT DETAILS ------------------ -CFGBVS-1#1 Warning -Missing CFGBVS and CONFIG_VOLTAGE Design Properties -Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: - - set_property CFGBVS value1 [current_design] - #where value1 is either VCCO or GND - - set_property CONFIG_VOLTAGE value2 [current_design] - #where value2 is the voltage provided to configuration bank 0 - -Refer to the device configuration user guide for more information. -Related violations: - - diff --git a/synth/filter_vivado.runs/impl_1/filter_drc_routed.rpx b/synth/filter_vivado.runs/impl_1/filter_drc_routed.rpx deleted file mode 100644 index b8d2514..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_drc_routed.rpx and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_io_placed.rpt b/synth/filter_vivado.runs/impl_1/filter_io_placed.rpt deleted file mode 100644 index a16373c..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_io_placed.rpt +++ /dev/null @@ -1,718 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:18:40 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_io -file filter_io_placed.rpt -| Design : filter -| Device : xc7k160t -| Speed File : -1 -| Package : ffv676 -| Package Version : FINAL 2012-06-26 -| Package Pin Delay Version : VERS. 2.0 2012-06-26 ----------------------------------------------------------------------------------------------------------------------------------------------------------- - -IO Information - -Table of Contents ------------------ -1. Summary -2. IO Assignments by Package Pin - -1. Summary ----------- - -+---------------+ -| Total User IO | -+---------------+ -| 436 | -+---------------+ - - -2. IO Assignments by Package Pin --------------------------------- - -+------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | -+------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| A1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A3 | | | MGTXTXN3_116 | Gigabit | | | | | | | | | | | | | | | | -| A4 | | | MGTXTXP3_116 | Gigabit | | | | | | | | | | | | | | | | -| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A8 | | High Range | IO_L9N_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| A9 | | High Range | IO_L9P_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| A10 | | High Range | IO_L22N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| A11 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| A12 | | High Range | IO_L24N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| A13 | | High Range | IO_L24P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| A14 | | High Range | IO_L21N_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| A15 | | High Range | IO_L23N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| A16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A17 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | -| A18 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | -| A19 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | -| A20 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | -| A21 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| A22 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | -| A23 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | -| A24 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | -| A25 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | -| A26 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AA1 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| AA2 | | High Performance | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| AA3 | | High Performance | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| AA4 | | High Performance | IO_L13P_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| AA5 | | High Performance | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| AA6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AA7 | | High Performance | IO_L8N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA8 | | High Performance | IO_L8P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA9 | | High Performance | IO_L11P_T1_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA10 | | High Performance | IO_L14P_T2_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA11 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| AA12 | | High Performance | IO_L16N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA13 | | High Performance | IO_L16P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| AA14 | | High Performance | IO_L7P_T1_32 | User IO | | 32 | | | | | | | | | | | | | | -| AA15 | | High Performance | IO_L7N_T1_32 | User IO | | 32 | | | | | | | | | | | | | | -| AA16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AA17 | | High Performance | IO_L11P_T1_SRCC_32 | User IO | | 32 | | | | | | | | | | | | | | -| AA18 | | High Performance | IO_L11N_T1_SRCC_32 | User IO | | 32 | | | | | | | | | | | | | | -| AA19 | | High Performance | IO_L16P_T2_32 | User IO | | 32 | | | | | | | | | | | | | | -| AA20 | | High Performance | IO_L16N_T2_32 | User IO | | 32 | | | | | | | | | | | | | | -| AA21 | | High Range | VCCO_12 | VCCO | | 12 | | | | | any** | | | | | | | | | -| AA22 | | High Range | IO_L13N_T2_MRCC_12 | User IO | | 12 | | | | | | | | | | | | | | -| AA23 | | High Range | IO_L11P_T1_SRCC_12 | User IO | | 12 | | | | | | | | | | | | | | -| AA24 | | High Range | IO_L12N_T1_MRCC_12 | User IO | | 12 | | | | | | | | | | | | | | -| AA25 | | High Range | IO_L7P_T1_12 | User IO | | 12 | | | | | | | | | | | | | | -| AA26 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AB1 | | High Performance | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| AB2 | | High Performance | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| AB3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AB4 | | High Performance | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| AB5 | | High Performance | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| AB6 | | High Performance | IO_L16P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| AB7 | | High Performance | IO_L10P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB8 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| AB9 | | High Performance | IO_L11N_T1_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB10 | | High Performance | IO_L14N_T2_SRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB11 | | High Performance | IO_L13P_T2_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB12 | | High Performance | IO_L15P_T2_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AB13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AB14 | | High Performance | IO_L10P_T1_32 | User IO | | 32 | | | | | | | | | | | | | | -| AB15 | | High Performance | IO_L10N_T1_32 | User IO | | 32 | | | | | | | | | | | | | | -| AB16 | | High Performance | IO_L12P_T1_MRCC_32 | User IO | | 32 | | | | | | | | | | | | | | -| AB17 | | High Performance | IO_L14P_T2_SRCC_32 | User IO | | 32 | | | | | | | | | | | | | | -| AB18 | | High Performance | VCCO_32 | VCCO | | 32 | | | | | 0.00-1.80 | | | | | | | | | -| AB19 | | High Performance | IO_L18P_T2_32 | User IO | | 32 | | | | | | | | | | | | | | -| AB20 | | High Performance | IO_L18N_T2_32 | User IO | | 32 | | | | | | | | | | | | | | -| AB21 | | High Range | IO_L18P_T2_12 | User IO | | 12 | | | | | | | | | | | | | | -| AB22 | | High Range | IO_L17P_T2_12 | User IO | | 12 | | | | | | | | | | | | | | -| AB23 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AB24 | | High Range | IO_L11N_T1_SRCC_12 | User IO | | 12 | | | | | | | | | | | | | | -| AB25 | | High Range | IO_L7N_T1_12 | User IO | | 12 | | | | | | | | | | | | | | -| AB26 | | High Range | IO_L9P_T1_DQS_12 | User IO | | 12 | | | | | | | | | | | | | | -| AC1 | | High Performance | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| AC2 | | High Performance | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| AC3 | | High Performance | IO_L14N_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| AC4 | | High Performance | IO_L14P_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| AC5 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| AC6 | | High Performance | IO_L16N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| AC7 | | High Performance | IO_L10N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| AC8 | | High Performance | IO_L9P_T1_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AC9 | | High Performance | IO_L12P_T1_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| AC10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AC11 | | High Performance | IO_L13N_T2_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| AC12 | | High Performance | IO_L15N_T2_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AC13 | | High Performance | IO_L17P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| AC14 | | High Performance | IO_L8P_T1_32 | User IO | | 32 | | | | | | | | | | | | | | -| AC15 | | High Performance | VCCO_32 | VCCO | | 32 | | | | | 0.00-1.80 | | | | | | | | | -| AC16 | | High Performance | IO_L12N_T1_MRCC_32 | User IO | | 32 | | | | | | | | | | | | | | -| AC17 | | High Performance | IO_L14N_T2_SRCC_32 | User IO | | 32 | | | | | | | | | | | | | | -| AC18 | | High Performance | IO_L13P_T2_MRCC_32 | User IO | | 32 | | | | | | | | | | | | | | -| AC19 | | High Performance | IO_L17P_T2_32 | User IO | | 32 | | | | | | | | | | | | | | -| AC20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AC21 | | High Range | IO_L18N_T2_12 | User IO | | 12 | | | | | | | | | | | | | | -| AC22 | | High Range | IO_L17N_T2_12 | User IO | | 12 | | | | | | | | | | | | | | -| AC23 | | High Range | IO_L14P_T2_SRCC_12 | User IO | | 12 | | | | | | | | | | | | | | -| AC24 | | High Range | IO_L14N_T2_SRCC_12 | User IO | | 12 | | | | | | | | | | | | | | -| AC25 | | High Range | VCCO_12 | VCCO | | 12 | | | | | any** | | | | | | | | | -| AC26 | | High Range | IO_L9N_T1_DQS_12 | User IO | | 12 | | | | | | | | | | | | | | -| AD1 | | High Performance | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AD2 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| AD3 | | High Performance | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| AD4 | | High Performance | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AD5 | | High Performance | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| AD6 | | High Performance | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| AD7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AD8 | | High Performance | IO_L9N_T1_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AD9 | | High Performance | IO_L12N_T1_MRCC_33 | User IO | | 33 | | | | | | | | | | | | | | -| AD10 | | High Performance | IO_L20P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AD11 | | High Performance | IO_L19P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AD12 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| AD13 | | High Performance | IO_L17N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| AD14 | | High Performance | IO_L8N_T1_32 | User IO | | 32 | | | | | | | | | | | | | | -| AD15 | | High Performance | IO_L4P_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AD16 | | High Performance | IO_L6P_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AD17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AD18 | | High Performance | IO_L13N_T2_MRCC_32 | User IO | | 32 | | | | | | | | | | | | | | -| AD19 | | High Performance | IO_L17N_T2_32 | User IO | | 32 | | | | | | | | | | | | | | -| AD20 | | High Performance | IO_L15P_T2_DQS_32 | User IO | | 32 | | | | | | | | | | | | | | -| AD21 | | High Range | IO_L19P_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AD22 | | High Range | VCCO_12 | VCCO | | 12 | | | | | any** | | | | | | | | | -| AD23 | | High Range | IO_L16P_T2_12 | User IO | | 12 | | | | | | | | | | | | | | -| AD24 | | High Range | IO_L16N_T2_12 | User IO | | 12 | | | | | | | | | | | | | | -| AD25 | | High Range | IO_L23P_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AD26 | | High Range | IO_L21P_T3_DQS_12 | User IO | | 12 | | | | | | | | | | | | | | -| AE1 | | High Performance | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AE2 | | High Performance | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AE3 | | High Performance | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AE4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AE5 | | High Performance | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AE6 | | High Performance | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AE7 | | High Performance | IO_L7P_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| AE8 | | High Performance | IO_L22P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AE9 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| AE10 | | High Performance | IO_L20N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AE11 | | High Performance | IO_L19N_T3_VREF_33 | User IO | | 33 | | | | | | | | | | | | | | -| AE12 | | High Performance | IO_L21P_T3_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AE13 | | High Performance | IO_L23P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AE14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AE15 | | High Performance | IO_L4N_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AE16 | | High Performance | IO_L6N_T0_VREF_32 | User IO | | 32 | | | | | | | | | | | | | | -| AE17 | | High Performance | IO_L1P_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AE18 | | High Performance | IO_L3P_T0_DQS_32 | User IO | | 32 | | | | | | | | | | | | | | -| AE19 | | High Performance | VCCO_32 | VCCO | | 32 | | | | | 0.00-1.80 | | | | | | | | | -| AE20 | | High Performance | IO_L15N_T2_DQS_32 | User IO | | 32 | | | | | | | | | | | | | | -| AE21 | | High Range | IO_L19N_T3_VREF_12 | User IO | | 12 | | | | | | | | | | | | | | -| AE22 | | High Range | IO_L24P_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AE23 | | High Range | IO_L22P_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AE24 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AE25 | | High Range | IO_L23N_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AE26 | | High Range | IO_L21N_T3_DQS_12 | User IO | | 12 | | | | | | | | | | | | | | -| AF1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AF2 | | High Performance | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AF3 | | High Performance | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| AF4 | | High Performance | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| AF5 | | High Performance | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| AF6 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| AF7 | | High Performance | IO_L7N_T1_33 | User IO | | 33 | | | | | | | | | | | | | | -| AF8 | | High Performance | IO_L22N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AF9 | | High Performance | IO_L24N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AF10 | | High Performance | IO_L24P_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AF11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AF12 | | High Performance | IO_L21N_T3_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| AF13 | | High Performance | IO_L23N_T3_33 | User IO | | 33 | | | | | | | | | | | | | | -| AF14 | | High Performance | IO_L2P_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AF15 | | High Performance | IO_L2N_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AF16 | | High Performance | VCCO_32 | VCCO | | 32 | | | | | 0.00-1.80 | | | | | | | | | -| AF17 | | High Performance | IO_L1N_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AF18 | | High Performance | IO_L3N_T0_DQS_32 | User IO | | 32 | | | | | | | | | | | | | | -| AF19 | | High Performance | IO_L5P_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AF20 | | High Performance | IO_L5N_T0_32 | User IO | | 32 | | | | | | | | | | | | | | -| AF21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| AF22 | | High Range | IO_L24N_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AF23 | | High Range | IO_L22N_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AF24 | | High Range | IO_L20P_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AF25 | | High Range | IO_L20N_T3_12 | User IO | | 12 | | | | | | | | | | | | | | -| AF26 | | High Range | VCCO_12 | VCCO | | 12 | | | | | any** | | | | | | | | | -| B1 | | | MGTXTXN2_116 | Gigabit | | | | | | | | | | | | | | | | -| B2 | | | MGTXTXP2_116 | Gigabit | | | | | | | | | | | | | | | | -| B3 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| B4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B5 | | | MGTXRXN3_116 | Gigabit | | | | | | | | | | | | | | | | -| B6 | | | MGTXRXP3_116 | Gigabit | | | | | | | | | | | | | | | | -| B7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B8 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| B9 | | High Range | IO_L10N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| B10 | | High Range | IO_L22P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| B11 | | High Range | IO_L20N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| B12 | | High Range | IO_L20P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| B13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B14 | | High Range | IO_L21P_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| B15 | | High Range | IO_L23P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| B16 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | -| B17 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | -| B18 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| B19 | | High Range | IO_L4N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | -| B20 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | -| B21 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | -| B22 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | -| B23 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B24 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | -| B25 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| B26 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | -| C1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| C3 | | | MGTXRXN2_116 | Gigabit | | | | | | | | | | | | | | | | -| C4 | | | MGTXRXP2_116 | Gigabit | | | | | | | | | | | | | | | | -| C5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| C7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C8 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | -| C9 | | High Range | IO_L10P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| C10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C11 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| C12 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| C13 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | -| C14 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | -| C15 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| C16 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | -| C17 | | High Range | IO_L5P_T0_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | -| C18 | | High Range | IO_L5N_T0_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | -| C19 | | High Range | IO_L4P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | -| C20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C21 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | -| C22 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | -| C23 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| C24 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | -| C25 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| C26 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | -| D1 | | | MGTXTXN1_116 | Gigabit | | | | | | | | | | | | | | | | -| D2 | | | MGTXTXP1_116 | Gigabit | | | | | | | | | | | | | | | | -| D3 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| D4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D5 | | | MGTREFCLK0N_116 | Gigabit | | | | | | | | | | | | | | | | -| D6 | | | MGTREFCLK0P_116 | Gigabit | | | | | | | | | | | | | | | | -| D7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D8 | | High Range | IO_L8N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| D9 | | High Range | IO_L8P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| D10 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| D11 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| D12 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| D13 | | High Range | IO_L17N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| D14 | | High Range | IO_L17P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| D15 | | High Range | IO_L6P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | -| D16 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | -| D17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D18 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | -| D19 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | -| D20 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | -| D21 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | -| D22 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| D23 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| D24 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| D25 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| D26 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | -| E1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E3 | | | MGTXRXN1_116 | Gigabit | | | | | | | | | | | | | | | | -| E4 | | | MGTXRXP1_116 | Gigabit | | | | | | | | | | | | | | | | -| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E8 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | -| E9 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| E10 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| E11 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| E12 | | High Range | IO_L18N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| E13 | | High Range | IO_L18P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| E14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E15 | | High Range | IO_L10P_T1_AD4P_15 | User IO | | 15 | | | | | | | | | | | | | | -| E16 | | High Range | IO_L10N_T1_AD4N_15 | User IO | | 15 | | | | | | | | | | | | | | -| E17 | | High Range | IO_L12N_T1_MRCC_AD5N_15 | User IO | | 15 | | | | | | | | | | | | | | -| E18 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | -| E19 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| E20 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | -| E21 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | -| E22 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | -| E23 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| E24 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E25 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| E26 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | -| F1 | | | MGTXTXN0_116 | Gigabit | | | | | | | | | | | | | | | | -| F2 | | | MGTXTXP0_116 | Gigabit | | | | | | | | | | | | | | | | -| F3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F5 | | | MGTREFCLK1N_116 | Gigabit | | | | | | | | | | | | | | | | -| F6 | | | MGTREFCLK1P_116 | Gigabit | | | | | | | | | | | | | | | | -| F7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F8 | | High Range | IO_L7N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| F9 | | High Range | IO_L7P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | -| F10 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| F11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F12 | | High Range | IO_L16N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| F13 | | High Range | IO_L15N_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| F14 | | High Range | IO_L15P_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| F15 | | High Range | IO_L8N_T1_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | -| F16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| F17 | | High Range | IO_L12P_T1_MRCC_AD5P_15 | User IO | | 15 | | | | | | | | | | | | | | -| F18 | | High Range | IO_L11N_T1_SRCC_AD12N_15 | User IO | | 15 | | | | | | | | | | | | | | -| F19 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | -| F20 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | -| F21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F22 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| F23 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| F24 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| F25 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | -| F26 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| G1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| G3 | | | MGTXRXN0_116 | Gigabit | | | | | | | | | | | | | | | | -| G4 | | | MGTXRXP0_116 | Gigabit | | | | | | | | | | | | | | | | -| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| G7 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | -| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G9 | | High Range | IO_L2N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| G10 | | High Range | IO_L2P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| G11 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | -| G12 | | High Range | IO_L16P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | -| G13 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| G14 | | High Range | IO_L5N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| G15 | | High Range | IO_L8P_T1_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | -| G16 | | High Range | IO_L7N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | -| G17 | | High Range | IO_L11P_T1_SRCC_AD12P_15 | User IO | | 15 | | | | | | | | | | | | | | -| G18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G19 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | -| G20 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | -| G21 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | -| G22 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| G23 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| G24 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | -| G25 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | -| G26 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | -| H1 | | | MGTXTXN3_115 | Gigabit | | | | | | | | | | | | | | | | -| H2 | | | MGTXTXP3_115 | Gigabit | | | | | | | | | | | | | | | | -| H3 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| H4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H5 | | | MGTREFCLK0N_115 | Gigabit | | | | | | | | | | | | | | | | -| H6 | | | MGTREFCLK0P_115 | Gigabit | | | | | | | | | | | | | | | | -| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H8 | | High Range | IO_L1N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| H9 | | High Range | IO_L1P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| H10 | | High Range | VCCO_16 | VCCO | | 16 | | | | | any** | | | | | | | | | -| H11 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | -| H12 | | High Range | IO_L6P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| H13 | | High Range | IO_L3N_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| H14 | | High Range | IO_L5P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| H15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H16 | | High Range | IO_L7P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | -| H17 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | -| H18 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | -| H19 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | -| H20 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| H21 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | -| H22 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | -| H23 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | -| H24 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | -| H25 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H26 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | -| J1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J3 | | | MGTXRXN3_115 | Gigabit | | | | | | | | | | | | | | | | -| J4 | | | MGTXRXP3_115 | Gigabit | | | | | | | | | | | | | | | | -| J5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| J7 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | -| J8 | | High Range | IO_0_16 | User IO | | 16 | | | | | | | | | | | | | | -| J9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| J10 | | High Range | IO_L4N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| J11 | | High Range | IO_L4P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | -| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J13 | | High Range | IO_L3P_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | -| J14 | | High Range | IO_25_16 | User IO | | 16 | | | | | | | | | | | | | | -| J15 | | High Range | IO_L9P_T1_DQS_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | -| J16 | | High Range | IO_L9N_T1_DQS_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | -| J17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| J18 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | -| J19 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | -| J20 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | -| J21 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | -| J22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J23 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | -| J24 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | -| J25 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | -| J26 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | -| K1 | | | MGTXTXN2_115 | Gigabit | | | | | | | | | | | | | | | | -| K2 | | | MGTXTXP2_115 | Gigabit | | | | | | | | | | | | | | | | -| K3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K5 | | | MGTREFCLK1N_115 | Gigabit | | | | | | | | | | | | | | | | -| K6 | | | MGTREFCLK1P_115 | Gigabit | | | | | | | | | | | | | | | | -| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| K9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K12 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| K13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| K15 | | High Range | IO_0_15 | User IO | | 15 | | | | | | | | | | | | | | -| K16 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | -| K17 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | -| K18 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | -| K19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K20 | | High Range | IO_L19P_T3_A22_15 | User IO | | 15 | | | | | | | | | | | | | | -| K21 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | -| K22 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | -| K23 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | -| K24 | | High Range | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| K25 | | High Range | IO_L1P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| K26 | | High Range | IO_L1N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| L1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L2 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| L3 | | | MGTXRXN2_115 | Gigabit | | | | | | | | | | | | | | | | -| L4 | | | MGTXRXP2_115 | Gigabit | | | | | | | | | | | | | | | | -| L5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | -| L7 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | -| L8 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | -| L9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| L10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| L12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L13 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| L14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| L16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L17 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | -| L18 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | -| L19 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | -| L20 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | -| L21 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | -| L22 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | -| L23 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | -| L24 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| L25 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| L26 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M1 | | | MGTXTXN1_115 | Gigabit | | | | | | | | | | | | | | | | -| M2 | | | MGTXTXP1_115 | Gigabit | | | | | | | | | | | | | | | | -| M3 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | -| M4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M5 | | | MGTAVTTRCAL_115 | Gigabit | | | | | | | | | | | | | | | | -| M6 | | | MGTRREF_115 | Gigabit | | | | | | | | | | | | | | | | -| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| M9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| M11 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| M12 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| M13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| M15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M16 | | High Range | IO_25_15 | User IO | | 15 | | | | | | | | | | | | | | -| M17 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | -| M18 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | -| M19 | | High Range | IO_L22N_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| M20 | | High Range | IO_L7N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| M21 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| M22 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| M23 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M24 | | High Range | IO_L8P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| M25 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| M26 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| N1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N3 | | | MGTXRXN1_115 | Gigabit | | | | | | | | | | | | | | | | -| N4 | | | MGTXRXP1_115 | Gigabit | | | | | | | | | | | | | | | | -| N5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N6 | | | MGTVCCAUX | Gigabit Power | | | | | | | | | | | | | | | | -| N7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N8 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | -| N9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| N10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N11 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | -| N12 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | -| N13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| N14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| N16 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | -| N17 | | High Range | IO_L20N_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| N18 | | High Range | IO_L22P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| N19 | | High Range | IO_L7P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | -| N20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N21 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| N22 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| N23 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| N24 | | High Range | IO_L4N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| N25 | | High Range | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| N26 | | High Range | IO_L5P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| P1 | | | MGTXTXN0_115 | Gigabit | | | | | | | | | | | | | | | | -| P2 | | | MGTXTXP0_115 | Gigabit | | | | | | | | | | | | | | | | -| P3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P5 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | -| P6 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | -| P7 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | | | | | | | | | | -| P8 | | | VCCAUX_IO_G0 | VCCAUX | | | | | | | | | | | | | | | | -| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| P11 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | -| P12 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | -| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| P15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P16 | | High Range | IO_L20P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| P17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P18 | | High Range | IO_L24N_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| P19 | | High Range | IO_L9P_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| P20 | | High Range | IO_L9N_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| P21 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| P22 | | High Range | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| P23 | | High Range | IO_L11P_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| P24 | | High Range | IO_L4P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| P25 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | -| P26 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| R1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R3 | | | MGTXRXN0_115 | Gigabit | | | | | | | | | | | | | | | | -| R4 | | | MGTXRXP0_115 | Gigabit | | | | | | | | | | | | | | | | -| R5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R6 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | -| R7 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | -| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R9 | | | VCCAUX_IO_G0 | VCCAUX | | | | | | | | | | | | | | | | -| R10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R11 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| R12 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| R13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| R14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| R16 | | High Range | IO_L21P_T3_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| R17 | | High Range | IO_L21N_T3_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| R18 | | High Range | IO_L24P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| R19 | | High Range | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| R20 | | High Range | IO_L16N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| R21 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| R22 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| R23 | | High Range | IO_L14N_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | -| R24 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R25 | | High Range | IO_L6P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| R26 | | High Range | IO_L2P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | -| T1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T2 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | -| T3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T5 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | -| T6 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | any** | | | | | | | | | -| T7 | | High Performance | IO_25_VRP_34 | User IO | | 34 | | | | | | | | | | | | | | -| T8 | | | VCCAUX_IO_G0 | VCCAUX | | | | | | | | | | | | | | | | -| T9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T10 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| T11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T12 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| T13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T14 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| T15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| T17 | | High Range | IO_L23N_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| T18 | | High Range | IO_L19P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| T19 | | High Range | IO_L19N_T3_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | -| T20 | | High Range | IO_L16P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| T21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| T22 | | High Range | IO_L17P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| T23 | | High Range | IO_L17N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| T24 | | High Range | IO_L15P_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| T25 | | High Range | IO_L15N_T2_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | -| T26 | | High Range | VCCO_13 | VCCO | | 13 | | | | | any** | | | | | | | | | -| U1 | | High Performance | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| U2 | | High Performance | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| U3 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| U4 | | High Performance | IO_0_VRN_34 | User IO | | 34 | | | | | | | | | | | | | | -| U5 | | High Performance | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| U6 | | High Performance | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| U7 | | High Performance | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| U8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U9 | | High Performance | IO_0_VRN_33 | User IO | | 33 | | | | | | | | | | | | | | -| U10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| U12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U13 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | -| U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U15 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| U16 | | High Range | IO_25_13 | User IO | | 13 | | | | | | | | | | | | | | -| U17 | | High Range | IO_L23P_T3_13 | User IO | | 13 | | | | | | | | | | | | | | -| U18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| U19 | | High Range | IO_L18P_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| U20 | | High Range | IO_L18N_T2_13 | User IO | | 13 | | | | | | | | | | | | | | -| U21 | | High Range | IO_0_12 | User IO | | 12 | | | | | | | | | | | | | | -| U22 | | High Range | IO_L1P_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| U23 | | High Range | VCCO_12 | VCCO | | 12 | | | | | any** | | | | | | | | | -| U24 | | High Range | IO_L2P_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| U25 | | High Range | IO_L2N_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| U26 | | High Range | IO_L4P_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| V1 | | High Performance | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| V2 | | High Performance | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| V3 | | High Performance | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| V4 | | High Performance | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| V5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V6 | | High Performance | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| V7 | | High Performance | IO_L2N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| V8 | | High Performance | IO_L2P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| V9 | | High Performance | IO_L6P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| V10 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| V11 | | High Performance | IO_L1P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| V12 | | High Performance | IO_25_VRP_33 | User IO | | 33 | | | | | | | | | | | | | | -| V13 | | High Performance | IO_0_VRN_32 | User IO | | 32 | | | | | | | | | | | | | | -| V14 | | High Performance | IO_L24P_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| V15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V16 | | High Performance | IO_L20P_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| V17 | | High Performance | IO_L20N_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| V18 | | High Performance | IO_L23P_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| V19 | | High Performance | IO_L23N_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| V20 | | High Range | VCCO_12 | VCCO | | 12 | | | | | any** | | | | | | | | | -| V21 | | High Range | IO_L6P_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| V22 | | High Range | IO_L1N_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| V23 | | High Range | IO_L3P_T0_DQS_12 | User IO | | 12 | | | | | | | | | | | | | | -| V24 | | High Range | IO_L3N_T0_DQS_12 | User IO | | 12 | | | | | | | | | | | | | | -| V25 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| V26 | | High Range | IO_L4N_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| W1 | | High Performance | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| W2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W3 | | High Performance | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| W4 | | High Performance | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| W5 | | High Performance | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| W6 | | High Performance | IO_L3P_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| W7 | | High Performance | VCCO_33 | VCCO | | 33 | | | | | 0.00-1.80 | | | | | | | | | -| W8 | | High Performance | IO_L6N_T0_VREF_33 | User IO | | 33 | | | | | | | | | | | | | | -| W9 | | High Performance | IO_L3N_T0_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| W10 | | High Performance | IO_L3P_T0_DQS_33 | User IO | | 33 | | | | | | | | | | | | | | -| W11 | | High Performance | IO_L1N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| W12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W13 | | High Performance | IO_25_VRP_32 | User IO | | 32 | | | | | | | | | | | | | | -| W14 | | High Performance | IO_L24N_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| W15 | | High Performance | IO_L22P_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| W16 | | High Performance | IO_L22N_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| W17 | | High Performance | VCCO_32 | VCCO | | 32 | | | | | 0.00-1.80 | | | | | | | | | -| W18 | | High Performance | IO_L21P_T3_DQS_32 | User IO | | 32 | | | | | | | | | | | | | | -| W19 | | High Performance | IO_L21N_T3_DQS_32 | User IO | | 32 | | | | | | | | | | | | | | -| W20 | | High Range | IO_L15P_T2_DQS_12 | User IO | | 12 | | | | | | | | | | | | | | -| W21 | | High Range | IO_L6N_T0_VREF_12 | User IO | | 12 | | | | | | | | | | | | | | -| W22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| W23 | | High Range | IO_L8P_T1_12 | User IO | | 12 | | | | | | | | | | | | | | -| W24 | | High Range | IO_L8N_T1_12 | User IO | | 12 | | | | | | | | | | | | | | -| W25 | | High Range | IO_L5P_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| W26 | | High Range | IO_L5N_T0_12 | User IO | | 12 | | | | | | | | | | | | | | -| Y1 | | High Performance | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y2 | | High Performance | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y3 | | High Performance | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y4 | | High Performance | VCCO_34 | VCCO | | 34 | | | | | 0.00-1.80 | | | | | | | | | -| Y5 | | High Performance | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y6 | | High Performance | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| Y7 | | High Performance | IO_L4N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y8 | | High Performance | IO_L4P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y10 | | High Performance | IO_L5N_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y11 | | High Performance | IO_L5P_T0_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y12 | | High Performance | IO_L18N_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y13 | | High Performance | IO_L18P_T2_33 | User IO | | 33 | | | | | | | | | | | | | | -| Y14 | | High Performance | VCCO_32 | VCCO | | 32 | | | | | 0.00-1.80 | | | | | | | | | -| Y15 | | High Performance | IO_L9P_T1_DQS_32 | User IO | | 32 | | | | | | | | | | | | | | -| Y16 | | High Performance | IO_L9N_T1_DQS_32 | User IO | | 32 | | | | | | | | | | | | | | -| Y17 | | High Performance | IO_L19P_T3_32 | User IO | | 32 | | | | | | | | | | | | | | -| Y18 | | High Performance | IO_L19N_T3_VREF_32 | User IO | | 32 | | | | | | | | | | | | | | -| Y19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| Y20 | | High Range | IO_25_12 | User IO | | 12 | | | | | | | | | | | | | | -| Y21 | | High Range | IO_L15N_T2_DQS_12 | User IO | | 12 | | | | | | | | | | | | | | -| Y22 | | High Range | IO_L13P_T2_MRCC_12 | User IO | | 12 | | | | | | | | | | | | | | -| Y23 | | High Range | IO_L12P_T1_MRCC_12 | User IO | | 12 | | | | | | | | | | | | | | -| Y24 | | High Range | VCCO_12 | VCCO | | 12 | | | | | any** | | | | | | | | | -| Y25 | | High Range | IO_L10P_T1_12 | User IO | | 12 | | | | | | | | | | | | | | -| Y26 | | High Range | IO_L10N_T1_12 | User IO | | 12 | | | | | | | | | | | | | | -+------------+-------------+------------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+-----------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -* Default value -** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. - - diff --git a/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.pb b/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.pb deleted file mode 100644 index beaf05e..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.rpt b/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.rpt deleted file mode 100644 index 0adeb17..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.rpt +++ /dev/null @@ -1,3123 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:20:40 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_methodology -file filter_methodology_drc_routed.rpt -pb filter_methodology_drc_routed.pb -rpx filter_methodology_drc_routed.rpx -| Design : filter -| Device : xc7k160tffv676-1 -| Speed File : -1 -| Design State : Fully Routed --------------------------------------------------------------------------------------------------------------------------------------------------------- - -Report Methodology - -Table of Contents ------------------ -1. REPORT SUMMARY -2. REPORT DETAILS - -1. REPORT SUMMARY ------------------ - Netlist: netlist - Floorplan: design_1 - Design limits: - Max violations: - Violations found: 443 -+-----------+----------+------------------------------------------+------------+ -| Rule | Severity | Description | Violations | -+-----------+----------+------------------------------------------+------------+ -| TIMING-16 | Warning | Large setup violation | 7 | -| XDCH-2 | Warning | Same min and max delay values on IO port | 436 | -+-----------+----------+------------------------------------------+------------+ - -2. REPORT DETAILS ------------------ -TIMING-16#1 Warning -Large setup violation -There is a large setup violation of -1.024 ns between storage_generate[1].storage/memory_reg_2/CLKBWRCLK (clocked by CLK) and registered_output.OUTPUT_DATA_reg[8]/D (clocked by CLK). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture -Related violations: - -TIMING-16#2 Warning -Large setup violation -There is a large setup violation of -1.030 ns between storage_generate[3].storage/memory_reg_3/CLKBWRCLK (clocked by CLK) and registered_output.OUTPUT_DATA_reg[10]/D (clocked by CLK). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture -Related violations: - -TIMING-16#3 Warning -Large setup violation -There is a large setup violation of -1.045 ns between storage_generate[2].storage/memory_reg_0/CLKBWRCLK (clocked by CLK) and registered_output.OUTPUT_DATA_reg[12]/D (clocked by CLK). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture -Related violations: - -TIMING-16#4 Warning -Large setup violation -There is a large setup violation of -1.047 ns between storage_generate[3].storage/memory_reg_3/CLKBWRCLK (clocked by CLK) and registered_output.OUTPUT_DATA_reg[15]/D (clocked by CLK). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture -Related violations: - -TIMING-16#5 Warning -Large setup violation -There is a large setup violation of -1.051 ns between storage_generate[3].storage/memory_reg_3/CLKBWRCLK (clocked by CLK) and registered_output.OUTPUT_DATA_reg[11]/D (clocked by CLK). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture -Related violations: - -TIMING-16#6 Warning -Large setup violation -There is a large setup violation of -1.144 ns between storage_generate[1].storage/memory_reg_2/CLKBWRCLK (clocked by CLK) and registered_output.OUTPUT_DATA_reg[1]/D (clocked by CLK). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture -Related violations: - -TIMING-16#7 Warning -Large setup violation -There is a large setup violation of -1.240 ns between storage_generate[2].storage/memory_reg_0/CLKBWRCLK (clocked by CLK) and registered_output.OUTPUT_KEY_FOUND_reg/D (clocked by CLK). Large setup violations at the end of those stages might be difficult to fix during the post-placement implementation flow and could be the result of non-optimal XDC constraints or non-optimal design architecture -Related violations: - -XDCH-2#1 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CLK' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#2 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[0]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#3 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[10]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#4 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[1]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#5 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[2]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#6 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[3]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#7 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[4]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#8 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[5]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#9 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[6]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#10 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[7]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#11 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[8]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#12 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_ITEM[9]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#13 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_TABLE[0]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#14 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_ADDRESS_TABLE[1]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#15 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[0]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#16 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[10]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#17 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[11]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#18 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[12]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#19 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[13]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#20 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[14]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#21 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[15]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#22 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[1]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#23 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[2]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#24 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[3]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#25 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[4]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#26 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[5]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#27 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[6]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#28 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[7]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#29 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[8]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#30 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_DATA[9]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#31 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_EMPTY' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#32 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[0]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#33 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[100]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#34 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[101]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#35 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[102]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#36 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[103]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#37 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[104]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#38 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[105]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#39 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[106]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#40 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[107]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#41 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[108]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#42 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[109]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#43 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[10]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#44 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[110]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#45 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[111]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#46 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[112]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#47 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[113]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#48 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[114]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#49 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[115]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#50 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[116]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#51 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[117]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#52 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[118]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#53 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[119]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#54 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[11]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#55 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[120]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#56 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[121]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#57 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[122]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#58 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[123]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#59 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[124]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#60 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[125]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#61 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[126]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#62 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[127]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#63 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[12]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#64 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[13]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#65 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[14]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#66 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[15]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#67 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[16]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#68 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[17]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#69 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[18]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#70 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[19]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#71 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[1]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#72 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[20]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#73 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[21]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#74 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[22]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#75 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[23]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#76 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[24]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#77 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[25]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#78 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[26]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#79 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[27]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#80 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[28]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#81 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[29]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#82 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[2]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#83 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[30]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#84 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[31]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#85 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[32]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#86 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[33]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#87 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[34]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#88 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[35]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#89 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[36]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#90 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[37]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#91 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[38]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#92 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[39]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#93 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[3]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#94 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[40]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#95 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[41]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#96 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[42]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#97 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[43]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#98 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[44]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#99 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[45]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#100 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[46]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#101 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[47]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#102 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[48]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#103 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[49]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#104 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[4]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#105 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[50]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#106 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[51]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#107 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[52]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#108 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[53]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#109 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[54]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#110 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[55]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#111 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[56]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#112 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[57]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#113 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[58]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#114 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[59]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#115 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[5]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#116 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[60]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#117 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[61]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#118 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[62]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#119 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[63]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#120 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[64]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#121 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[65]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#122 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[66]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#123 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[67]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#124 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[68]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#125 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[69]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#126 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[6]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#127 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[70]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#128 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[71]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#129 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[72]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#130 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[73]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#131 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[74]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#132 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[75]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#133 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[76]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#134 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[77]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#135 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[78]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#136 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[79]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#137 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[7]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#138 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[80]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#139 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[81]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#140 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[82]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#141 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[83]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#142 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[84]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#143 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[85]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#144 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[86]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#145 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[87]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#146 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[88]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#147 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[89]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#148 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[8]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#149 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[90]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#150 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[91]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#151 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[92]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#152 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[93]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#153 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[94]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#154 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[95]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#155 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[96]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#156 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[97]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#157 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[98]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#158 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[99]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#159 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_KEY[9]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#160 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'CONFIG_WRITE' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#161 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[0]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#162 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[100]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#163 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[101]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#164 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[102]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#165 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[103]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#166 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[104]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#167 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[105]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#168 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[106]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#169 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[107]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#170 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[108]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#171 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[109]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#172 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[10]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#173 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[110]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#174 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[111]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#175 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[112]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#176 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[113]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#177 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[114]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#178 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[115]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#179 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[116]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#180 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[117]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#181 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[118]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#182 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[119]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#183 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[11]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#184 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[120]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#185 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[121]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#186 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[122]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#187 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[123]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#188 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[124]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#189 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[125]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#190 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[126]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#191 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[127]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#192 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[12]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#193 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[13]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#194 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[14]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#195 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[15]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#196 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[16]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#197 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[17]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#198 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[18]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#199 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[19]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#200 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[1]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#201 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[20]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#202 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[21]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#203 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[22]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#204 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[23]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#205 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[24]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#206 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[25]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#207 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[26]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#208 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[27]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#209 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[28]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#210 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[29]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#211 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[2]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#212 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[30]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#213 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[31]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#214 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[32]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#215 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[33]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#216 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[34]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#217 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[35]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#218 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[36]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#219 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[37]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#220 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[38]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#221 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[39]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#222 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[3]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#223 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[40]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#224 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[41]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#225 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[42]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#226 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[43]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#227 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[44]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#228 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[45]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#229 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[46]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#230 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[47]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#231 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[48]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#232 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[49]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#233 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[4]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#234 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[50]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#235 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[51]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#236 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[52]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#237 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[53]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#238 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[54]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#239 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[55]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#240 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[56]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#241 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[57]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#242 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[58]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#243 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[59]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#244 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[5]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#245 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[60]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#246 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[61]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#247 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[62]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#248 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[63]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#249 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[64]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#250 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[65]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#251 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[66]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#252 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[67]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#253 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[68]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#254 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[69]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#255 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[6]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#256 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[70]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#257 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[71]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#258 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[72]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#259 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[73]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#260 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[74]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#261 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[75]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#262 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[76]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#263 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[77]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#264 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[78]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#265 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[79]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#266 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[7]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#267 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[80]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#268 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[81]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#269 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[82]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#270 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[83]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#271 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[84]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#272 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[85]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#273 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[86]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#274 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[87]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#275 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[88]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#276 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[89]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#277 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[8]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#278 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[90]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#279 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[91]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#280 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[92]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#281 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[93]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#282 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[94]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#283 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[95]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#284 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[96]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#285 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[97]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#286 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[98]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#287 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[99]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#288 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_KEY[9]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#289 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'INPUT_VALID' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#290 Warning -Same min and max delay values on IO port -The same input delay of 1.000 ns has been defined on port 'RESET' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_input_delay -clock [get_clocks CLK] 1.000 [all_inputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 13) -Related violations: - -XDCH-2#291 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[0]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#292 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[10]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#293 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[11]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#294 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[12]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#295 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[13]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#296 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[14]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#297 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[15]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#298 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[1]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#299 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[2]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#300 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[3]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#301 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[4]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#302 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[5]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#303 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[6]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#304 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[7]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#305 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[8]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#306 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_DATA[9]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#307 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[0]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#308 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[100]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#309 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[101]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#310 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[102]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#311 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[103]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#312 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[104]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#313 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[105]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#314 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[106]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#315 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[107]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#316 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[108]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#317 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[109]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#318 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[10]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#319 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[110]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#320 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[111]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#321 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[112]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#322 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[113]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#323 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[114]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#324 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[115]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#325 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[116]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#326 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[117]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#327 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[118]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#328 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[119]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#329 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[11]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#330 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[120]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#331 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[121]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#332 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[122]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#333 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[123]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#334 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[124]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#335 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[125]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#336 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[126]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#337 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[127]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#338 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[12]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#339 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[13]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#340 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[14]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#341 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[15]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#342 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[16]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#343 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[17]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#344 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[18]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#345 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[19]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#346 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[1]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#347 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[20]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#348 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[21]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#349 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[22]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#350 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[23]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#351 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[24]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#352 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[25]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#353 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[26]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#354 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[27]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#355 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[28]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#356 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[29]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#357 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[2]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#358 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[30]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#359 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[31]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#360 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[32]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#361 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[33]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#362 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[34]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#363 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[35]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#364 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[36]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#365 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[37]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#366 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[38]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#367 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[39]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#368 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[3]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#369 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[40]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#370 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[41]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#371 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[42]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#372 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[43]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#373 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[44]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#374 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[45]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#375 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[46]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#376 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[47]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#377 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[48]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#378 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[49]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#379 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[4]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#380 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[50]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#381 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[51]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#382 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[52]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#383 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[53]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#384 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[54]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#385 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[55]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#386 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[56]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#387 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[57]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#388 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[58]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#389 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[59]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#390 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[5]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#391 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[60]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#392 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[61]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#393 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[62]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#394 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[63]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#395 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[64]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#396 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[65]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#397 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[66]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#398 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[67]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#399 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[68]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#400 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[69]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#401 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[6]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#402 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[70]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#403 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[71]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#404 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[72]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#405 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[73]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#406 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[74]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#407 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[75]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#408 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[76]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#409 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[77]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#410 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[78]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#411 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[79]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#412 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[7]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#413 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[80]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#414 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[81]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#415 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[82]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#416 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[83]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#417 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[84]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#418 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[85]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#419 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[86]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#420 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[87]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#421 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[88]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#422 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[89]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#423 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[8]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#424 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[90]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#425 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[91]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#426 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[92]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#427 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[93]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#428 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[94]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#429 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[95]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#430 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[96]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#431 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[97]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#432 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[98]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#433 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[99]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#434 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY[9]' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#435 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_KEY_FOUND' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - -XDCH-2#436 Warning -Same min and max delay values on IO port -The same output delay of 1.000 ns has been defined on port 'OUTPUT_VALID' relative to clock CLK for both max and min. Make sure this reflects the design intent. -set_output_delay -clock [get_clocks CLK] 1.000 [all_outputs] -/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc (Line: 14) -Related violations: - - diff --git a/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.rpx b/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.rpx deleted file mode 100644 index ce4a586..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.rpx and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_opt.dcp b/synth/filter_vivado.runs/impl_1/filter_opt.dcp deleted file mode 100644 index d7d4c8a..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_opt.dcp and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_physopt.dcp b/synth/filter_vivado.runs/impl_1/filter_physopt.dcp deleted file mode 100644 index d62d08a..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_physopt.dcp and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_placed.dcp b/synth/filter_vivado.runs/impl_1/filter_placed.dcp deleted file mode 100644 index 44668ce..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_placed.dcp and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_power_routed.rpt b/synth/filter_vivado.runs/impl_1/filter_power_routed.rpt deleted file mode 100644 index 6283102..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_power_routed.rpt +++ /dev/null @@ -1,164 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:20:43 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_power -file filter_power_routed.rpt -pb filter_power_summary_routed.pb -rpx filter_power_routed.rpx -| Design : filter -| Device : xc7k160tffv676-1 -| Design State : routed -| Grade : commercial -| Process : typical -| Characterization : Production -------------------------------------------------------------------------------------------------------------------------------------------------- - -Power Report - -Table of Contents ------------------ -1. Summary -1.1 On-Chip Components -1.2 Power Supply Summary -1.3 Confidence Level -2. Settings -2.1 Environment -2.2 Clock Constraints -3. Detailed Reports -3.1 By Hierarchy - -1. Summary ----------- - -+--------------------------+--------------+ -| Total On-Chip Power (W) | 0.689 | -| Design Power Budget (W) | Unspecified* | -| Power Budget Margin (W) | NA | -| Dynamic (W) | 0.575 | -| Device Static (W) | 0.114 | -| Effective TJA (C/W) | 1.9 | -| Max Ambient (C) | 83.7 | -| Junction Temperature (C) | 26.3 | -| Confidence Level | Medium | -| Setting File | --- | -| Simulation Activity File | --- | -| Design Nets Matched | NA | -+--------------------------+--------------+ -* Specify Design Power Budget using, set_operating_conditions -design_power_budget - - -1.1 On-Chip Components ----------------------- - -+-------------------------+-----------+----------+-----------+-----------------+ -| On-Chip | Power (W) | Used | Available | Utilization (%) | -+-------------------------+-----------+----------+-----------+-----------------+ -| Clocks | 0.096 | 3 | --- | --- | -| Slice Logic | 0.127 | 10142 | --- | --- | -| LUT as Logic | 0.101 | 3625 | 101400 | 3.57 | -| CARRY4 | 0.013 | 745 | 25350 | 2.94 | -| Register | 0.012 | 4839 | 202800 | 2.39 | -| LUT as Shift Register | <0.001 | 82 | 35000 | 0.23 | -| Others | 0.000 | 34 | --- | --- | -| Signals | 0.147 | 7074 | --- | --- | -| Block RAM | 0.206 | 34 | 325 | 10.46 | -| Static Power | 0.114 | | | | -| Total | 0.689 | | | | -+-------------------------+-----------+----------+-----------+-----------------+ - - -1.2 Power Supply Summary ------------------------- - -+-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ -| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | -+-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ -| Vccint | 1.000 | 0.602 | 0.559 | 0.043 | NA | Unspecified | NA | -| Vccaux | 1.800 | 0.018 | 0.000 | 0.018 | NA | Unspecified | NA | -| Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccbram | 1.000 | 0.018 | 0.016 | 0.002 | NA | Unspecified | NA | -| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | -+-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ - - -1.3 Confidence Level --------------------- - -+-----------------------------+------------+------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| User Input Data | Confidence | Details | Action | -+-----------------------------+------------+------------------------------------------------+------------------------------------------------------------------------------------------------------------+ -| Design implementation state | High | Design is routed | | -| Clock nodes activity | High | User specified more than 95% of clocks | | -| I/O nodes activity | High | User specified more than 95% of inputs | | -| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | -| Device models | High | Device models are Production | | -| | | | | -| Overall confidence level | Medium | | | -+-----------------------------+------------+------------------------------------------------+------------------------------------------------------------------------------------------------------------+ - - -2. Settings ------------ - -2.1 Environment ---------------- - -+-----------------------+--------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 1.9 | -| Airflow (LFM) | 250 | -| Heat Sink | medium (Medium Profile) | -| ThetaSA (C/W) | 3.4 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 12to15 (12 to 15 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+--------------------------+ - - -2.2 Clock Constraints ---------------------- - -+-------+--------+-----------------+ -| Clock | Domain | Constraint (ns) | -+-------+--------+-----------------+ -| CLK | CLK | 4.0 | -+-------+--------+-----------------+ - - -3. Detailed Reports -------------------- - -3.1 By Hierarchy ----------------- - -+-------------------------------+-----------+ -| Name | Power (W) | -+-------------------------------+-----------+ -| filter | 0.575 | -| hash_generate[0].hash | 0.083 | -| final | 0.033 | -| mix_pipeline[0].mix | 0.050 | -| hash_generate[1].hash | 0.083 | -| final | 0.034 | -| mix_pipeline[0].mix | 0.048 | -| hash_generate[2].hash | 0.078 | -| final | 0.031 | -| mix_pipeline[0].mix | 0.047 | -| hash_generate[3].hash | 0.079 | -| final | 0.031 | -| mix_pipeline[0].mix | 0.046 | -| storage_generate[0].storage | 0.057 | -| storage_generate[1].storage | 0.058 | -| storage_generate[2].storage | 0.057 | -| storage_generate[3].storage | 0.056 | -+-------------------------------+-----------+ - - diff --git a/synth/filter_vivado.runs/impl_1/filter_power_routed.rpx b/synth/filter_vivado.runs/impl_1/filter_power_routed.rpx deleted file mode 100644 index 3ed3267..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_power_routed.rpx and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_power_summary_routed.pb b/synth/filter_vivado.runs/impl_1/filter_power_summary_routed.pb deleted file mode 100644 index 9cc152a..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_power_summary_routed.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_route_status.pb b/synth/filter_vivado.runs/impl_1/filter_route_status.pb deleted file mode 100644 index d624378..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_route_status.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_route_status.rpt b/synth/filter_vivado.runs/impl_1/filter_route_status.rpt deleted file mode 100644 index 6b6e22e..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_route_status.rpt +++ /dev/null @@ -1,12 +0,0 @@ -Design Route Status - : # nets : - ------------------------------------------- : ----------- : - # of logical nets.......................... : 13669 : - # of nets not needing routing.......... : 6593 : - # of internally routed nets........ : 6157 : - # of implicitly routed ports....... : 436 : - # of routable nets..................... : 7076 : - # of fully routed nets............. : 7076 : - # of nets with routing errors.......... : 0 : - ------------------------------------------- : ----------- : - diff --git a/synth/filter_vivado.runs/impl_1/filter_routed.dcp b/synth/filter_vivado.runs/impl_1/filter_routed.dcp deleted file mode 100644 index 841ee8a..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_routed.dcp and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.pb b/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.pb deleted file mode 100644 index 72df1be..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.rpt b/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.rpt deleted file mode 100644 index ce3f3fd..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.rpt +++ /dev/null @@ -1,1521 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:17:29 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_opted.rpt -pb filter_timing_summary_opted.pb -rpx filter_timing_summary_opted.rpx -| Design : filter -| Device : 7k160t-ffv676 -| Speed File : -1 PRODUCTION 1.12 2017-02-17 -| Design State : Optimized ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------ - -Timing Summary Report - ------------------------------------------------------------------------------------------------- -| Timer Settings -| -------------- ------------------------------------------------------------------------------------------------- - - Enable Multi Corner Analysis : Yes - Enable Pessimism Removal : Yes - Pessimism Removal Resolution : Nearest Common Node - Enable Input Delay Default Clock : No - Enable Preset / Clear Arcs : No - Disable Flight Delays : No - Ignore I/O Paths : No - Timing Early Launch at Borrowing Latches : No - Borrow Time for Max Delay Exceptions : Yes - Merge Timing Exceptions : Yes - Inter-SLR Compensation : Conservative - - Corner Analyze Analyze - Name Max Paths Min Paths - ------ --------- --------- - Slow Yes Yes - Fast Yes Yes - - ------------------------------------------------------------------------------------------------- -| Report Methodology -| ------------------ ------------------------------------------------------------------------------------------------- - -No report available as report_methodology has not been run prior. Run report_methodology on the current design for the summary of methodology violations. - - - -check_timing report - -Table of Contents ------------------ -1. checking no_clock (0) -2. checking constant_clock (0) -3. checking pulse_width_clock (0) -4. checking unconstrained_internal_endpoints (0) -5. checking no_input_delay (0) -6. checking no_output_delay (0) -7. checking multiple_clock (0) -8. checking generated_clocks (0) -9. checking loops (0) -10. checking partial_input_delay (0) -11. checking partial_output_delay (0) -12. checking latch_loops (0) - -1. checking no_clock (0) ------------------------- - There are 0 register/latch pins with no clock. - - -2. checking constant_clock (0) ------------------------------- - There are 0 register/latch pins with constant_clock. - - -3. checking pulse_width_clock (0) ---------------------------------- - There are 0 register/latch pins which need pulse_width check - - -4. checking unconstrained_internal_endpoints (0) ------------------------------------------------- - There are 0 pins that are not constrained for maximum delay. - - There are 0 pins that are not constrained for maximum delay due to constant clock. - - -5. checking no_input_delay (0) ------------------------------- - There are 0 input ports with no input delay specified. - - There are 0 input ports with no input delay but user has a false path constraint. - - -6. checking no_output_delay (0) -------------------------------- - There are 0 ports with no output delay specified. - - There are 0 ports with no output delay but user has a false path constraint - - There are 0 ports with no output delay but with a timing clock defined on it or propagating through it - - -7. checking multiple_clock (0) ------------------------------- - There are 0 register/latch pins with multiple clocks. - - -8. checking generated_clocks (0) --------------------------------- - There are 0 generated clocks that are not connected to a clock source. - - -9. checking loops (0) ---------------------- - There are 0 combinational loops in the design. - - -10. checking partial_input_delay (0) ------------------------------------- - There are 0 input ports with partial input delay specified. - - -11. checking partial_output_delay (0) -------------------------------------- - There are 0 ports with partial output delay specified. - - -12. checking latch_loops (0) ----------------------------- - There are 0 combinational latch loops in the design through latch input - - - ------------------------------------------------------------------------------------------------- -| Design Timing Summary -| --------------------- ------------------------------------------------------------------------------------------------- - - WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints - ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - -0.708 -12.012 17 6513 0.169 0.000 0 6513 1.220 0.000 0 5027 - - -Timing constraints are not met. - - ------------------------------------------------------------------------------------------------- -| Clock Summary -| ------------- ------------------------------------------------------------------------------------------------- - -Clock Waveform(ns) Period(ns) Frequency(MHz) ------ ------------ ---------- -------------- -CLK {0.000 2.000} 4.000 250.000 - - ------------------------------------------------------------------------------------------------- -| Intra Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------ ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- -CLK -0.708 -12.012 17 6513 0.169 0.000 0 6513 1.220 0.000 0 5027 - - ------------------------------------------------------------------------------------------------- -| Inter Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| Other Path Groups Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| User Ignored Path Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock ----------- ---------- -------- - - ------------------------------------------------------------------------------------------------- -| Unconstrained Path Table -| ------------------------ ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock ----------- ---------- -------- - - ------------------------------------------------------------------------------------------------- -| Timing Details -| -------------- ------------------------------------------------------------------------------------------------- - - ---------------------------------------------------------------------------------------------------- -From Clock: CLK - To Clock: CLK - -Setup : 17 Failing Endpoints, Worst Slack -0.708ns, Total Violation -12.012ns -Hold : 0 Failing Endpoints, Worst Slack 0.169ns, Total Violation 0.000ns -PW : 0 Failing Endpoints, Worst Slack 1.220ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Max Delay Paths --------------------------------------------------------------------------------------- -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[0]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[0]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[0]_0 - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[0]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[0] - FDRE r registered_output.OUTPUT_DATA_reg[0]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[0]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[0] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[10]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[10]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[10] - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[10]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[10] - FDRE r registered_output.OUTPUT_DATA_reg[10]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[10]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[10] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[11]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[11]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[11] - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[11]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[11] - FDRE r registered_output.OUTPUT_DATA_reg[11]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[11]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[11] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[12]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[12]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[12] - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[12]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[12] - FDRE r registered_output.OUTPUT_DATA_reg[12]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[12]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[12] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[13]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[13]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[13] - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[13]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[13] - FDRE r registered_output.OUTPUT_DATA_reg[13]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[13]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[13] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[14]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[14]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[14] - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[14]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[14] - FDRE r registered_output.OUTPUT_DATA_reg[14]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[14]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[14] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[15]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[15]_i_3/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_0 - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[15] - FDRE r registered_output.OUTPUT_DATA_reg[15]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[15]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[15] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[1]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[1]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[1] - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[1]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[1] - FDRE r registered_output.OUTPUT_DATA_reg[1]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[1]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[1] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[2]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[2]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[2] - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[2]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[2] - FDRE r registered_output.OUTPUT_DATA_reg[2]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[2]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[2] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - -Slack (VIOLATED) : -0.708ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_1/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[3]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.688ns (logic 3.345ns (71.359%) route 1.343ns (28.641%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36E1 r storage_generate[2].storage/memory_reg_1/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[3]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_1/DOBDO[3] - net (fo=1, unplaced) 0.584 3.336 storage_generate[2].storage/memory_rule[2][21] - LUT6 (Prop_lut6_I4_O) 0.053 3.389 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55/O - net (fo=1, unplaced) 0.000 3.389 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0 - CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.310 3.699 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, unplaced) 0.008 3.707 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.767 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, unplaced) 0.000 3.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.827 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, unplaced) 0.000 3.827 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.887 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, unplaced) 0.000 3.887 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 3.947 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, unplaced) 0.000 3.947 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.007 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, unplaced) 0.000 4.007 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.067 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, unplaced) 0.000 4.067 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.127 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, unplaced) 0.000 4.127 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.187 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, unplaced) 0.000 4.187 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - CARRY4 (Prop_carry4_CI_CO[3]) - 0.060 4.247 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, unplaced) 0.000 4.247 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - CARRY4 (Prop_carry4_CI_CO[2]) - 0.149 4.396 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, unplaced) 0.411 4.807 storage_generate[1].storage/CO[0] - LUT6 (Prop_lut6_I4_O) 0.160 4.967 r storage_generate[1].storage/registered_output.OUTPUT_DATA[3]_i_2/O - net (fo=1, unplaced) 0.340 5.307 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[3] - LUT6 (Prop_lut6_I5_O) 0.053 5.360 r storage_generate[2].storage/registered_output.OUTPUT_DATA[3]_i_1/O - net (fo=1, unplaced) 0.000 5.360 out_data[3] - FDRE r registered_output.OUTPUT_DATA_reg[3]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5026, unset) 0.638 4.638 CLK - FDRE r registered_output.OUTPUT_DATA_reg[3]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - FDRE (Setup_fdre_C_D) 0.049 4.652 registered_output.OUTPUT_DATA_reg[3] - ------------------------------------------------------------------- - required time 4.652 - arrival time -5.360 - ------------------------------------------------------------------- - slack -0.708 - - - - - -Min Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_valid_reg/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/final/s_reg[7][valid]_srl13/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_valid_reg/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_valid_reg/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/final/in_valid - SRL16E r hash_generate[0].hash/final/s_reg[7][valid]_srl13/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/final/CLK - SRL16E r hash_generate[0].hash/final/s_reg[7][valid]_srl13/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/final/s_reg[7][valid]_srl13 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[100]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][100]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[100]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[100]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][100]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][100]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][100]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][100]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[101]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][101]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[101]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[101]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][101]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][101]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][101]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][101]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[102]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][102]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[102]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[102]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][102]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][102]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][102]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][102]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[103]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][103]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[103]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[103]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][103]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][103]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][103]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][103]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[104]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][104]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[104]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[104]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][104]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][104]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][104]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][104]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[105]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][105]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[105]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[105]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][105]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][105]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][105]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][105]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[106]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][106]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[106]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[106]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][106]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][106]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][106]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][106]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[107]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][107]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[107]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[107]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][107]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][107]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][107]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][107]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - -Slack (MET) : 0.169ns (arrival time - required time) - Source: registered_input.in_key_reg[108]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][108]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.206ns (logic 0.104ns (50.484%) route 0.102ns (49.516%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.283 0.283 CLK - FDRE r registered_input.in_key_reg[108]__0/C - ------------------------------------------------------------------- ------------------- - FDRE (Prop_fdre_C_Q) 0.104 0.387 r registered_input.in_key_reg[108]__0/Q - net (fo=1, unplaced) 0.102 0.489 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][108]__0_0 - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][108]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5026, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][108]_srl5/CLK - clock pessimism 0.000 0.298 - SRL16E (Hold_srl16e_CLK_D) - 0.022 0.320 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][108]_srl5 - ------------------------------------------------------------------- - required time -0.320 - arrival time 0.489 - ------------------------------------------------------------------- - slack 0.169 - - - - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: CLK -Waveform(ns): { 0.000 2.000 } -Period(ns): 4.000 -Sources: { CLK } - -Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_0/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_1/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_2/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_3/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_4/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_5/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_6/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_7/CLKARDCLK -Min Period n/a RAMB18E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[0].storage/memory_reg_8/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 storage_generate[1].storage/memory_reg_0/CLKARDCLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][0]_srl13/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][0]_srl13/CLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][100]_srl7/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][100]_srl7/CLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][101]_srl7/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][101]_srl7/CLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][102]_srl7/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][102]_srl7/CLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][103]_srl7/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][103]_srl7/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][0]_srl13/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][0]_srl13/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][100]_srl7/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][100]_srl7/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][101]_srl7/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][101]_srl7/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][102]_srl7/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][102]_srl7/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][103]_srl7/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 hash_generate[0].hash/final/s_reg[7][key][103]_srl7/CLK - - - diff --git a/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.rpx b/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.rpx deleted file mode 100644 index d3bb149..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_timing_summary_opted.rpx and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.pb b/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.pb deleted file mode 100644 index 208dec5..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.rpt b/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.rpt deleted file mode 100644 index 9f85db6..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.rpt +++ /dev/null @@ -1,1455 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:20:43 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_routed.rpt -pb filter_timing_summary_routed.pb -rpx filter_timing_summary_routed.rpx -warn_on_violation -| Design : filter -| Device : 7k160t-ffv676 -| Speed File : -1 PRODUCTION 1.12 2017-02-17 -| Design State : Routed ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- - -Timing Summary Report - ------------------------------------------------------------------------------------------------- -| Timer Settings -| -------------- ------------------------------------------------------------------------------------------------- - - Enable Multi Corner Analysis : Yes - Enable Pessimism Removal : Yes - Pessimism Removal Resolution : Nearest Common Node - Enable Input Delay Default Clock : No - Enable Preset / Clear Arcs : No - Disable Flight Delays : No - Ignore I/O Paths : No - Timing Early Launch at Borrowing Latches : No - Borrow Time for Max Delay Exceptions : Yes - Merge Timing Exceptions : Yes - Inter-SLR Compensation : Conservative - - Corner Analyze Analyze - Name Max Paths Min Paths - ------ --------- --------- - Slow Yes Yes - Fast Yes Yes - - ------------------------------------------------------------------------------------------------- -| Report Methodology -| ------------------ ------------------------------------------------------------------------------------------------- - -Rule Severity Description Violations ---------- -------- ---------------------------------------- ---------- -TIMING-16 Warning Large setup violation 7 -XDCH-2 Warning Same min and max delay values on IO port 436 - -Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report. - - - -check_timing report - -Table of Contents ------------------ -1. checking no_clock (0) -2. checking constant_clock (0) -3. checking pulse_width_clock (0) -4. checking unconstrained_internal_endpoints (0) -5. checking no_input_delay (0) -6. checking no_output_delay (0) -7. checking multiple_clock (0) -8. checking generated_clocks (0) -9. checking loops (0) -10. checking partial_input_delay (0) -11. checking partial_output_delay (0) -12. checking latch_loops (0) - -1. checking no_clock (0) ------------------------- - There are 0 register/latch pins with no clock. - - -2. checking constant_clock (0) ------------------------------- - There are 0 register/latch pins with constant_clock. - - -3. checking pulse_width_clock (0) ---------------------------------- - There are 0 register/latch pins which need pulse_width check - - -4. checking unconstrained_internal_endpoints (0) ------------------------------------------------- - There are 0 pins that are not constrained for maximum delay. - - There are 0 pins that are not constrained for maximum delay due to constant clock. - - -5. checking no_input_delay (0) ------------------------------- - There are 0 input ports with no input delay specified. - - There are 0 input ports with no input delay but user has a false path constraint. - - -6. checking no_output_delay (0) -------------------------------- - There are 0 ports with no output delay specified. - - There are 0 ports with no output delay but user has a false path constraint - - There are 0 ports with no output delay but with a timing clock defined on it or propagating through it - - -7. checking multiple_clock (0) ------------------------------- - There are 0 register/latch pins with multiple clocks. - - -8. checking generated_clocks (0) --------------------------------- - There are 0 generated clocks that are not connected to a clock source. - - -9. checking loops (0) ---------------------- - There are 0 combinational loops in the design. - - -10. checking partial_input_delay (0) ------------------------------------- - There are 0 input ports with partial input delay specified. - - -11. checking partial_output_delay (0) -------------------------------------- - There are 0 ports with partial output delay specified. - - -12. checking latch_loops (0) ----------------------------- - There are 0 combinational latch loops in the design through latch input - - - ------------------------------------------------------------------------------------------------- -| Design Timing Summary -| --------------------- ------------------------------------------------------------------------------------------------- - - WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints - ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - -1.240 -22.558 42 6558 0.038 0.000 0 6558 1.220 0.000 0 5072 - - -Timing constraints are not met. - - ------------------------------------------------------------------------------------------------- -| Clock Summary -| ------------- ------------------------------------------------------------------------------------------------- - -Clock Waveform(ns) Period(ns) Frequency(MHz) ------ ------------ ---------- -------------- -CLK {0.000 2.000} 4.000 250.000 - - ------------------------------------------------------------------------------------------------- -| Intra Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------ ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- -CLK -1.240 -22.558 42 6558 0.038 0.000 0 6558 1.220 0.000 0 5072 - - ------------------------------------------------------------------------------------------------- -| Inter Clock Table -| ----------------- ------------------------------------------------------------------------------------------------- - -From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| Other Path Groups Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints ----------- ---------- -------- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- - - ------------------------------------------------------------------------------------------------- -| User Ignored Path Table -| ----------------------- ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock ----------- ---------- -------- - - ------------------------------------------------------------------------------------------------- -| Unconstrained Path Table -| ------------------------ ------------------------------------------------------------------------------------------------- - -Path Group From Clock To Clock ----------- ---------- -------- - - ------------------------------------------------------------------------------------------------- -| Timing Details -| -------------- ------------------------------------------------------------------------------------------------- - - ---------------------------------------------------------------------------------------------------- -From Clock: CLK - To Clock: CLK - -Setup : 42 Failing Endpoints, Worst Slack -1.240ns, Total Violation -22.558ns -Hold : 0 Failing Endpoints, Worst Slack 0.038ns, Total Violation 0.000ns -PW : 0 Failing Endpoints, Worst Slack 1.220ns, Total Violation 0.000ns ---------------------------------------------------------------------------------------------------- - - -Max Delay Paths --------------------------------------------------------------------------------------- -Slack (VIOLATED) : -1.240ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_0/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_KEY_FOUND_reg/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 5.206ns (logic 3.314ns (63.662%) route 1.892ns (36.338%)) - Logic Levels: 14 (CARRY4=11 LUT2=1 LUT6=2) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36_X3Y11 RAMB36E1 r storage_generate[2].storage/memory_reg_0/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X3Y11 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOPBDOP[1]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_0/DOPBDOP[1] - net (fo=1, routed) 0.909 3.661 storage_generate[2].storage/memory_rule[2][17] - SLICE_X60Y78 LUT6 (Prop_lut6_I5_O) 0.053 3.714 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_56/O - net (fo=1, routed) 0.000 3.714 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_56_n_0 - SLICE_X60Y78 CARRY4 (Prop_carry4_S[0]_CO[3]) - 0.313 4.027 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, routed) 0.000 4.027 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - SLICE_X60Y79 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.085 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, routed) 0.000 4.085 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - SLICE_X60Y80 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.143 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, routed) 0.000 4.143 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - SLICE_X60Y81 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.201 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, routed) 0.000 4.201 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - SLICE_X60Y82 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.259 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, routed) 0.000 4.259 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - SLICE_X60Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.317 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, routed) 0.000 4.317 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - SLICE_X60Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.375 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, routed) 0.000 4.375 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - SLICE_X60Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.433 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, routed) 0.000 4.433 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - SLICE_X60Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.491 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, routed) 0.000 4.491 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - SLICE_X60Y87 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.549 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, routed) 0.000 4.549 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - SLICE_X60Y88 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.681 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, routed) 0.575 5.255 storage_generate[2].storage/CO[0] - SLICE_X51Y88 LUT2 (Prop_lut2_I0_O) 0.161 5.416 r storage_generate[2].storage/registered_output.OUTPUT_KEY_FOUND_i_4/O - net (fo=1, routed) 0.408 5.825 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_0 - SLICE_X49Y88 LUT6 (Prop_lut6_I4_O) 0.053 5.878 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_1/O - net (fo=1, routed) 0.000 5.878 storage_generate[1].storage_n_16 - SLICE_X49Y88 FDRE r registered_output.OUTPUT_KEY_FOUND_reg/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X49Y88 FDRE r registered_output.OUTPUT_KEY_FOUND_reg/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X49Y88 FDRE (Setup_fdre_C_D) 0.035 4.638 registered_output.OUTPUT_KEY_FOUND_reg - ------------------------------------------------------------------- - required time 4.638 - arrival time -5.878 - ------------------------------------------------------------------- - slack -1.240 - -Slack (VIOLATED) : -1.144ns (required time - arrival time) - Source: storage_generate[1].storage/memory_reg_2/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[1]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 5.148ns (logic 3.209ns (62.339%) route 1.939ns (37.661%)) - Logic Levels: 12 (CARRY4=9 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[1].storage/CLK - RAMB36_X3Y12 RAMB36E1 r storage_generate[1].storage/memory_reg_2/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X3Y12 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[9]) - 2.080 2.752 r storage_generate[1].storage/memory_reg_2/DOBDO[9] - net (fo=1, routed) 1.081 3.833 storage_generate[1].storage/memory_rule[1][45] - SLICE_X61Y79 LUT6 (Prop_lut6_I5_O) 0.053 3.886 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122/O - net (fo=1, routed) 0.000 3.886 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0 - SLICE_X61Y79 CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.324 4.210 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104/CO[3] - net (fo=1, routed) 0.000 4.210 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0 - SLICE_X61Y80 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.268 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89/CO[3] - net (fo=1, routed) 0.000 4.268 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0 - SLICE_X61Y81 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.326 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74/CO[3] - net (fo=1, routed) 0.000 4.326 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0 - SLICE_X61Y82 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.384 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59/CO[3] - net (fo=1, routed) 0.000 4.384 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0 - SLICE_X61Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.442 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44/CO[3] - net (fo=1, routed) 0.000 4.442 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0 - SLICE_X61Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.500 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29/CO[3] - net (fo=1, routed) 0.000 4.500 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0 - SLICE_X61Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.558 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15/CO[3] - net (fo=1, routed) 0.000 4.558 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0 - SLICE_X61Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.616 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6/CO[3] - net (fo=1, routed) 0.000 4.616 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0 - SLICE_X61Y87 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.748 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_2/CO[2] - net (fo=17, routed) 0.438 5.186 storage_generate[1].storage/out_key_found13_out - SLICE_X58Y87 LUT6 (Prop_lut6_I3_O) 0.161 5.347 r storage_generate[1].storage/registered_output.OUTPUT_DATA[1]_i_2/O - net (fo=1, routed) 0.420 5.767 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[1] - SLICE_X58Y88 LUT6 (Prop_lut6_I5_O) 0.053 5.820 r storage_generate[2].storage/registered_output.OUTPUT_DATA[1]_i_1/O - net (fo=1, routed) 0.000 5.820 out_data[1] - SLICE_X58Y88 FDRE r registered_output.OUTPUT_DATA_reg[1]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X58Y88 FDRE r registered_output.OUTPUT_DATA_reg[1]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X58Y88 FDRE (Setup_fdre_C_D) 0.073 4.676 registered_output.OUTPUT_DATA_reg[1] - ------------------------------------------------------------------- - required time 4.676 - arrival time -5.820 - ------------------------------------------------------------------- - slack -1.144 - -Slack (VIOLATED) : -1.051ns (required time - arrival time) - Source: storage_generate[3].storage/memory_reg_3/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[11]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 5.017ns (logic 3.093ns (61.645%) route 1.924ns (38.355%)) - Logic Levels: 10 (CARRY4=7 LUT2=1 LUT6=2) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[3].storage/CLK - RAMB36_X2Y14 RAMB36E1 r storage_generate[3].storage/memory_reg_3/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X2Y14 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[14]) - 2.080 2.752 r storage_generate[3].storage/memory_reg_3/DOBDO[14] - net (fo=1, routed) 1.081 3.833 storage_generate[3].storage/memory_rule[3][68] - SLICE_X52Y82 LUT6 (Prop_lut6_I1_O) 0.053 3.886 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_117/O - net (fo=1, routed) 0.000 3.886 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_117_n_0 - SLICE_X52Y82 CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.324 4.210 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_99/CO[3] - net (fo=1, routed) 0.000 4.210 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_99_n_0 - SLICE_X52Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.268 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_84/CO[3] - net (fo=1, routed) 0.000 4.268 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_84_n_0 - SLICE_X52Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.326 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_69/CO[3] - net (fo=1, routed) 0.000 4.326 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_69_n_0 - SLICE_X52Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.384 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_54/CO[3] - net (fo=1, routed) 0.000 4.384 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_54_n_0 - SLICE_X52Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.442 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_39/CO[3] - net (fo=1, routed) 0.000 4.442 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_39_n_0 - SLICE_X52Y87 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.500 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_25/CO[3] - net (fo=1, routed) 0.000 4.500 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_25_n_0 - SLICE_X52Y88 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.632 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_14/CO[2] - net (fo=2, routed) 0.247 4.878 storage_generate[3].storage/out_key_found1 - SLICE_X55Y88 LUT2 (Prop_lut2_I0_O) 0.161 5.039 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_5/O - net (fo=16, routed) 0.597 5.636 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[0] - SLICE_X56Y87 LUT6 (Prop_lut6_I1_O) 0.053 5.689 r storage_generate[2].storage/registered_output.OUTPUT_DATA[11]_i_1/O - net (fo=1, routed) 0.000 5.689 out_data[11] - SLICE_X56Y87 FDRE r registered_output.OUTPUT_DATA_reg[11]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X56Y87 FDRE r registered_output.OUTPUT_DATA_reg[11]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X56Y87 FDRE (Setup_fdre_C_D) 0.035 4.638 registered_output.OUTPUT_DATA_reg[11] - ------------------------------------------------------------------- - required time 4.638 - arrival time -5.689 - ------------------------------------------------------------------- - slack -1.051 - -Slack (VIOLATED) : -1.047ns (required time - arrival time) - Source: storage_generate[3].storage/memory_reg_3/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[15]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 5.013ns (logic 3.093ns (61.696%) route 1.920ns (38.304%)) - Logic Levels: 10 (CARRY4=7 LUT2=1 LUT6=2) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[3].storage/CLK - RAMB36_X2Y14 RAMB36E1 r storage_generate[3].storage/memory_reg_3/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X2Y14 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[14]) - 2.080 2.752 r storage_generate[3].storage/memory_reg_3/DOBDO[14] - net (fo=1, routed) 1.081 3.833 storage_generate[3].storage/memory_rule[3][68] - SLICE_X52Y82 LUT6 (Prop_lut6_I1_O) 0.053 3.886 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_117/O - net (fo=1, routed) 0.000 3.886 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_117_n_0 - SLICE_X52Y82 CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.324 4.210 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_99/CO[3] - net (fo=1, routed) 0.000 4.210 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_99_n_0 - SLICE_X52Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.268 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_84/CO[3] - net (fo=1, routed) 0.000 4.268 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_84_n_0 - SLICE_X52Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.326 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_69/CO[3] - net (fo=1, routed) 0.000 4.326 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_69_n_0 - SLICE_X52Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.384 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_54/CO[3] - net (fo=1, routed) 0.000 4.384 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_54_n_0 - SLICE_X52Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.442 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_39/CO[3] - net (fo=1, routed) 0.000 4.442 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_39_n_0 - SLICE_X52Y87 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.500 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_25/CO[3] - net (fo=1, routed) 0.000 4.500 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_25_n_0 - SLICE_X52Y88 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.632 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_14/CO[2] - net (fo=2, routed) 0.247 4.878 storage_generate[3].storage/out_key_found1 - SLICE_X55Y88 LUT2 (Prop_lut2_I0_O) 0.161 5.039 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_5/O - net (fo=16, routed) 0.593 5.632 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[0] - SLICE_X57Y87 LUT6 (Prop_lut6_I1_O) 0.053 5.685 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_1/O - net (fo=1, routed) 0.000 5.685 out_data[15] - SLICE_X57Y87 FDRE r registered_output.OUTPUT_DATA_reg[15]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X57Y87 FDRE r registered_output.OUTPUT_DATA_reg[15]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X57Y87 FDRE (Setup_fdre_C_D) 0.035 4.638 registered_output.OUTPUT_DATA_reg[15] - ------------------------------------------------------------------- - required time 4.638 - arrival time -5.685 - ------------------------------------------------------------------- - slack -1.047 - -Slack (VIOLATED) : -1.045ns (required time - arrival time) - Source: storage_generate[2].storage/memory_reg_0/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[12]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 5.011ns (logic 3.314ns (66.133%) route 1.697ns (33.867%)) - Logic Levels: 14 (CARRY4=11 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[2].storage/CLK - RAMB36_X3Y11 RAMB36E1 r storage_generate[2].storage/memory_reg_0/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X3Y11 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOPBDOP[1]) - 2.080 2.752 r storage_generate[2].storage/memory_reg_0/DOPBDOP[1] - net (fo=1, routed) 0.909 3.661 storage_generate[2].storage/memory_rule[2][17] - SLICE_X60Y78 LUT6 (Prop_lut6_I5_O) 0.053 3.714 r storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_56/O - net (fo=1, routed) 0.000 3.714 storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_56_n_0 - SLICE_X60Y78 CARRY4 (Prop_carry4_S[0]_CO[3]) - 0.313 4.027 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48/CO[3] - net (fo=1, routed) 0.000 4.027 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0 - SLICE_X60Y79 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.085 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43/CO[3] - net (fo=1, routed) 0.000 4.085 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0 - SLICE_X60Y80 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.143 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38/CO[3] - net (fo=1, routed) 0.000 4.143 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0 - SLICE_X60Y81 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.201 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33/CO[3] - net (fo=1, routed) 0.000 4.201 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0 - SLICE_X60Y82 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.259 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28/CO[3] - net (fo=1, routed) 0.000 4.259 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0 - SLICE_X60Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.317 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23/CO[3] - net (fo=1, routed) 0.000 4.317 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0 - SLICE_X60Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.375 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18/CO[3] - net (fo=1, routed) 0.000 4.375 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0 - SLICE_X60Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.433 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13/CO[3] - net (fo=1, routed) 0.000 4.433 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0 - SLICE_X60Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.491 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8/CO[3] - net (fo=1, routed) 0.000 4.491 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0 - SLICE_X60Y87 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.549 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4/CO[3] - net (fo=1, routed) 0.000 4.549 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0 - SLICE_X60Y88 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.681 r storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_2/CO[2] - net (fo=33, routed) 0.547 5.228 storage_generate[1].storage/CO[0] - SLICE_X59Y86 LUT6 (Prop_lut6_I4_O) 0.161 5.389 r storage_generate[1].storage/registered_output.OUTPUT_DATA[12]_i_2/O - net (fo=1, routed) 0.241 5.630 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[12] - SLICE_X57Y87 LUT6 (Prop_lut6_I5_O) 0.053 5.683 r storage_generate[2].storage/registered_output.OUTPUT_DATA[12]_i_1/O - net (fo=1, routed) 0.000 5.683 out_data[12] - SLICE_X57Y87 FDRE r registered_output.OUTPUT_DATA_reg[12]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X57Y87 FDRE r registered_output.OUTPUT_DATA_reg[12]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X57Y87 FDRE (Setup_fdre_C_D) 0.035 4.638 registered_output.OUTPUT_DATA_reg[12] - ------------------------------------------------------------------- - required time 4.638 - arrival time -5.683 - ------------------------------------------------------------------- - slack -1.045 - -Slack (VIOLATED) : -1.030ns (required time - arrival time) - Source: storage_generate[3].storage/memory_reg_3/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[10]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.996ns (logic 3.093ns (61.911%) route 1.903ns (38.089%)) - Logic Levels: 10 (CARRY4=7 LUT2=1 LUT6=2) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[3].storage/CLK - RAMB36_X2Y14 RAMB36E1 r storage_generate[3].storage/memory_reg_3/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X2Y14 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[14]) - 2.080 2.752 r storage_generate[3].storage/memory_reg_3/DOBDO[14] - net (fo=1, routed) 1.081 3.833 storage_generate[3].storage/memory_rule[3][68] - SLICE_X52Y82 LUT6 (Prop_lut6_I1_O) 0.053 3.886 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_117/O - net (fo=1, routed) 0.000 3.886 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_117_n_0 - SLICE_X52Y82 CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.324 4.210 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_99/CO[3] - net (fo=1, routed) 0.000 4.210 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_99_n_0 - SLICE_X52Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.268 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_84/CO[3] - net (fo=1, routed) 0.000 4.268 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_84_n_0 - SLICE_X52Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.326 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_69/CO[3] - net (fo=1, routed) 0.000 4.326 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_69_n_0 - SLICE_X52Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.384 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_54/CO[3] - net (fo=1, routed) 0.000 4.384 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_54_n_0 - SLICE_X52Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.442 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_39/CO[3] - net (fo=1, routed) 0.000 4.442 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_39_n_0 - SLICE_X52Y87 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.500 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_25/CO[3] - net (fo=1, routed) 0.000 4.500 storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_25_n_0 - SLICE_X52Y88 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.632 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_14/CO[2] - net (fo=2, routed) 0.247 4.878 storage_generate[3].storage/out_key_found1 - SLICE_X55Y88 LUT2 (Prop_lut2_I0_O) 0.161 5.039 r storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_5/O - net (fo=16, routed) 0.575 5.615 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[0] - SLICE_X59Y87 LUT6 (Prop_lut6_I1_O) 0.053 5.668 r storage_generate[2].storage/registered_output.OUTPUT_DATA[10]_i_1/O - net (fo=1, routed) 0.000 5.668 out_data[10] - SLICE_X59Y87 FDRE r registered_output.OUTPUT_DATA_reg[10]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X59Y87 FDRE r registered_output.OUTPUT_DATA_reg[10]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X59Y87 FDRE (Setup_fdre_C_D) 0.035 4.638 registered_output.OUTPUT_DATA_reg[10] - ------------------------------------------------------------------- - required time 4.638 - arrival time -5.668 - ------------------------------------------------------------------- - slack -1.030 - -Slack (VIOLATED) : -1.024ns (required time - arrival time) - Source: storage_generate[1].storage/memory_reg_2/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[8]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.990ns (logic 3.209ns (64.303%) route 1.781ns (35.697%)) - Logic Levels: 12 (CARRY4=9 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[1].storage/CLK - RAMB36_X3Y12 RAMB36E1 r storage_generate[1].storage/memory_reg_2/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X3Y12 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[9]) - 2.080 2.752 r storage_generate[1].storage/memory_reg_2/DOBDO[9] - net (fo=1, routed) 1.081 3.833 storage_generate[1].storage/memory_rule[1][45] - SLICE_X61Y79 LUT6 (Prop_lut6_I5_O) 0.053 3.886 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122/O - net (fo=1, routed) 0.000 3.886 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0 - SLICE_X61Y79 CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.324 4.210 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104/CO[3] - net (fo=1, routed) 0.000 4.210 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0 - SLICE_X61Y80 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.268 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89/CO[3] - net (fo=1, routed) 0.000 4.268 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0 - SLICE_X61Y81 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.326 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74/CO[3] - net (fo=1, routed) 0.000 4.326 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0 - SLICE_X61Y82 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.384 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59/CO[3] - net (fo=1, routed) 0.000 4.384 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0 - SLICE_X61Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.442 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44/CO[3] - net (fo=1, routed) 0.000 4.442 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0 - SLICE_X61Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.500 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29/CO[3] - net (fo=1, routed) 0.000 4.500 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0 - SLICE_X61Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.558 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15/CO[3] - net (fo=1, routed) 0.000 4.558 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0 - SLICE_X61Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.616 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6/CO[3] - net (fo=1, routed) 0.000 4.616 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0 - SLICE_X61Y87 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.748 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_2/CO[2] - net (fo=17, routed) 0.405 5.153 storage_generate[1].storage/out_key_found13_out - SLICE_X59Y86 LUT6 (Prop_lut6_I3_O) 0.161 5.314 r storage_generate[1].storage/registered_output.OUTPUT_DATA[8]_i_2/O - net (fo=1, routed) 0.295 5.609 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[8] - SLICE_X57Y87 LUT6 (Prop_lut6_I5_O) 0.053 5.662 r storage_generate[2].storage/registered_output.OUTPUT_DATA[8]_i_1/O - net (fo=1, routed) 0.000 5.662 out_data[8] - SLICE_X57Y87 FDRE r registered_output.OUTPUT_DATA_reg[8]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X57Y87 FDRE r registered_output.OUTPUT_DATA_reg[8]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X57Y87 FDRE (Setup_fdre_C_D) 0.035 4.638 registered_output.OUTPUT_DATA_reg[8] - ------------------------------------------------------------------- - required time 4.638 - arrival time -5.662 - ------------------------------------------------------------------- - slack -1.024 - -Slack (VIOLATED) : -0.993ns (required time - arrival time) - Source: storage_generate[1].storage/memory_reg_2/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[7]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.958ns (logic 3.209ns (64.721%) route 1.749ns (35.279%)) - Logic Levels: 12 (CARRY4=9 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[1].storage/CLK - RAMB36_X3Y12 RAMB36E1 r storage_generate[1].storage/memory_reg_2/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X3Y12 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[9]) - 2.080 2.752 r storage_generate[1].storage/memory_reg_2/DOBDO[9] - net (fo=1, routed) 1.081 3.833 storage_generate[1].storage/memory_rule[1][45] - SLICE_X61Y79 LUT6 (Prop_lut6_I5_O) 0.053 3.886 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122/O - net (fo=1, routed) 0.000 3.886 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0 - SLICE_X61Y79 CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.324 4.210 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104/CO[3] - net (fo=1, routed) 0.000 4.210 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0 - SLICE_X61Y80 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.268 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89/CO[3] - net (fo=1, routed) 0.000 4.268 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0 - SLICE_X61Y81 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.326 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74/CO[3] - net (fo=1, routed) 0.000 4.326 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0 - SLICE_X61Y82 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.384 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59/CO[3] - net (fo=1, routed) 0.000 4.384 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0 - SLICE_X61Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.442 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44/CO[3] - net (fo=1, routed) 0.000 4.442 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0 - SLICE_X61Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.500 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29/CO[3] - net (fo=1, routed) 0.000 4.500 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0 - SLICE_X61Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.558 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15/CO[3] - net (fo=1, routed) 0.000 4.558 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0 - SLICE_X61Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.616 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6/CO[3] - net (fo=1, routed) 0.000 4.616 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0 - SLICE_X61Y87 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.748 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_2/CO[2] - net (fo=17, routed) 0.425 5.173 storage_generate[1].storage/out_key_found13_out - SLICE_X58Y86 LUT6 (Prop_lut6_I3_O) 0.161 5.334 r storage_generate[1].storage/registered_output.OUTPUT_DATA[7]_i_2/O - net (fo=1, routed) 0.243 5.577 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[7] - SLICE_X59Y88 LUT6 (Prop_lut6_I5_O) 0.053 5.630 r storage_generate[2].storage/registered_output.OUTPUT_DATA[7]_i_1/O - net (fo=1, routed) 0.000 5.630 out_data[7] - SLICE_X59Y88 FDRE r registered_output.OUTPUT_DATA_reg[7]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X59Y88 FDRE r registered_output.OUTPUT_DATA_reg[7]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X59Y88 FDRE (Setup_fdre_C_D) 0.034 4.637 registered_output.OUTPUT_DATA_reg[7] - ------------------------------------------------------------------- - required time 4.637 - arrival time -5.630 - ------------------------------------------------------------------- - slack -0.993 - -Slack (VIOLATED) : -0.985ns (required time - arrival time) - Source: storage_generate[1].storage/memory_reg_2/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[3]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.951ns (logic 3.209ns (64.809%) route 1.742ns (35.191%)) - Logic Levels: 12 (CARRY4=9 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[1].storage/CLK - RAMB36_X3Y12 RAMB36E1 r storage_generate[1].storage/memory_reg_2/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X3Y12 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[9]) - 2.080 2.752 r storage_generate[1].storage/memory_reg_2/DOBDO[9] - net (fo=1, routed) 1.081 3.833 storage_generate[1].storage/memory_rule[1][45] - SLICE_X61Y79 LUT6 (Prop_lut6_I5_O) 0.053 3.886 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122/O - net (fo=1, routed) 0.000 3.886 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0 - SLICE_X61Y79 CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.324 4.210 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104/CO[3] - net (fo=1, routed) 0.000 4.210 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0 - SLICE_X61Y80 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.268 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89/CO[3] - net (fo=1, routed) 0.000 4.268 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0 - SLICE_X61Y81 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.326 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74/CO[3] - net (fo=1, routed) 0.000 4.326 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0 - SLICE_X61Y82 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.384 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59/CO[3] - net (fo=1, routed) 0.000 4.384 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0 - SLICE_X61Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.442 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44/CO[3] - net (fo=1, routed) 0.000 4.442 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0 - SLICE_X61Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.500 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29/CO[3] - net (fo=1, routed) 0.000 4.500 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0 - SLICE_X61Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.558 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15/CO[3] - net (fo=1, routed) 0.000 4.558 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0 - SLICE_X61Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.616 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6/CO[3] - net (fo=1, routed) 0.000 4.616 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0 - SLICE_X61Y87 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.748 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_2/CO[2] - net (fo=17, routed) 0.430 5.178 storage_generate[1].storage/out_key_found13_out - SLICE_X58Y88 LUT6 (Prop_lut6_I3_O) 0.161 5.339 r storage_generate[1].storage/registered_output.OUTPUT_DATA[3]_i_2/O - net (fo=1, routed) 0.231 5.570 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[3] - SLICE_X57Y88 LUT6 (Prop_lut6_I5_O) 0.053 5.623 r storage_generate[2].storage/registered_output.OUTPUT_DATA[3]_i_1/O - net (fo=1, routed) 0.000 5.623 out_data[3] - SLICE_X57Y88 FDRE r registered_output.OUTPUT_DATA_reg[3]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X57Y88 FDRE r registered_output.OUTPUT_DATA_reg[3]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X57Y88 FDRE (Setup_fdre_C_D) 0.035 4.638 registered_output.OUTPUT_DATA_reg[3] - ------------------------------------------------------------------- - required time 4.638 - arrival time -5.623 - ------------------------------------------------------------------- - slack -0.985 - -Slack (VIOLATED) : -0.982ns (required time - arrival time) - Source: storage_generate[1].storage/memory_reg_2/CLKBWRCLK - (rising edge-triggered cell RAMB36E1 clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: registered_output.OUTPUT_DATA_reg[0]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Setup (Max at Slow Process Corner) - Requirement: 4.000ns (CLK rise@4.000ns - CLK rise@0.000ns) - Data Path Delay: 4.948ns (logic 3.209ns (64.857%) route 1.739ns (35.143%)) - Logic Levels: 12 (CARRY4=9 LUT6=3) - Clock Path Skew: -0.034ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): 0.638ns = ( 4.638 - 4.000 ) - Source Clock Delay (SCD): 0.672ns - Clock Pessimism Removal (CPR): 0.000ns - Clock Uncertainty: 0.035ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.071ns - Total Input Jitter (TIJ): 0.000ns - Discrete Jitter (DJ): 0.000ns - Phase Error (PE): 0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.672 0.672 storage_generate[1].storage/CLK - RAMB36_X3Y12 RAMB36E1 r storage_generate[1].storage/memory_reg_2/CLKBWRCLK - ------------------------------------------------------------------- ------------------- - RAMB36_X3Y12 RAMB36E1 (Prop_ramb36e1_CLKBWRCLK_DOBDO[9]) - 2.080 2.752 r storage_generate[1].storage/memory_reg_2/DOBDO[9] - net (fo=1, routed) 1.081 3.833 storage_generate[1].storage/memory_rule[1][45] - SLICE_X61Y79 LUT6 (Prop_lut6_I5_O) 0.053 3.886 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122/O - net (fo=1, routed) 0.000 3.886 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0 - SLICE_X61Y79 CARRY4 (Prop_carry4_S[1]_CO[3]) - 0.324 4.210 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104/CO[3] - net (fo=1, routed) 0.000 4.210 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0 - SLICE_X61Y80 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.268 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89/CO[3] - net (fo=1, routed) 0.000 4.268 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0 - SLICE_X61Y81 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.326 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74/CO[3] - net (fo=1, routed) 0.000 4.326 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0 - SLICE_X61Y82 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.384 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59/CO[3] - net (fo=1, routed) 0.000 4.384 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0 - SLICE_X61Y83 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.442 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44/CO[3] - net (fo=1, routed) 0.000 4.442 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0 - SLICE_X61Y84 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.500 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29/CO[3] - net (fo=1, routed) 0.000 4.500 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0 - SLICE_X61Y85 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.558 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15/CO[3] - net (fo=1, routed) 0.000 4.558 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0 - SLICE_X61Y86 CARRY4 (Prop_carry4_CI_CO[3]) - 0.058 4.616 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6/CO[3] - net (fo=1, routed) 0.000 4.616 storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0 - SLICE_X61Y87 CARRY4 (Prop_carry4_CI_CO[2]) - 0.132 4.748 r storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_2/CO[2] - net (fo=17, routed) 0.407 5.155 storage_generate[1].storage/out_key_found13_out - SLICE_X59Y86 LUT6 (Prop_lut6_I3_O) 0.161 5.316 r storage_generate[1].storage/registered_output.OUTPUT_DATA[0]_i_2/O - net (fo=1, routed) 0.250 5.567 storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[0]_0 - SLICE_X56Y87 LUT6 (Prop_lut6_I5_O) 0.053 5.620 r storage_generate[2].storage/registered_output.OUTPUT_DATA[0]_i_1/O - net (fo=1, routed) 0.000 5.620 out_data[0] - SLICE_X56Y87 FDRE r registered_output.OUTPUT_DATA_reg[0]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 4.000 4.000 r - 0.000 4.000 r CLK (IN) - net (fo=5071, unset) 0.638 4.638 CLK - SLICE_X56Y87 FDRE r registered_output.OUTPUT_DATA_reg[0]/C - clock pessimism 0.000 4.638 - clock uncertainty -0.035 4.603 - SLICE_X56Y87 FDRE (Setup_fdre_C_D) 0.035 4.638 registered_output.OUTPUT_DATA_reg[0] - ------------------------------------------------------------------- - required time 4.638 - arrival time -5.620 - ------------------------------------------------------------------- - slack -0.982 - - - - - -Min Delay Paths --------------------------------------------------------------------------------------- -Slack (MET) : 0.038ns (arrival time - required time) - Source: registered_input.in_valid_reg/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/final/s_reg[7][valid]_srl13/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.155ns (logic 0.100ns (64.432%) route 0.055ns (35.568%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 CLK - SLICE_X59Y125 FDRE r registered_input.in_valid_reg/C - ------------------------------------------------------------------- ------------------- - SLICE_X59Y125 FDRE (Prop_fdre_C_Q) 0.100 0.383 r registered_input.in_valid_reg/Q - net (fo=1, routed) 0.055 0.438 hash_generate[0].hash/final/in_valid - SLICE_X58Y125 SRL16E r hash_generate[0].hash/final/s_reg[7][valid]_srl13/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[0].hash/final/CLK - SLICE_X58Y125 SRL16E r hash_generate[0].hash/final/s_reg[7][valid]_srl13/CLK - clock pessimism 0.000 0.298 - SLICE_X58Y125 SRL16E (Hold_srl16e_CLK_D) - 0.102 0.400 hash_generate[0].hash/final/s_reg[7][valid]_srl13 - ------------------------------------------------------------------- - required time -0.400 - arrival time 0.438 - ------------------------------------------------------------------- - slack 0.038 - -Slack (MET) : 0.038ns (arrival time - required time) - Source: registered_input.in_key_reg[100]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][100]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.155ns (logic 0.100ns (64.432%) route 0.055ns (35.568%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 CLK - SLICE_X41Y87 FDRE r registered_input.in_key_reg[100]__0/C - ------------------------------------------------------------------- ------------------- - SLICE_X41Y87 FDRE (Prop_fdre_C_Q) 0.100 0.383 r registered_input.in_key_reg[100]__0/Q - net (fo=1, routed) 0.055 0.438 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][100]__0_0 - SLICE_X40Y87 SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][100]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SLICE_X40Y87 SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][100]_srl5/CLK - clock pessimism 0.000 0.298 - SLICE_X40Y87 SRL16E (Hold_srl16e_CLK_D) - 0.102 0.400 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][100]_srl5 - ------------------------------------------------------------------- - required time -0.400 - arrival time 0.438 - ------------------------------------------------------------------- - slack 0.038 - -Slack (MET) : 0.057ns (arrival time - required time) - Source: registered_input.in_key_reg[111]__0/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][111]_srl5/D - (rising edge-triggered cell SRL16E clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.190ns (logic 0.091ns (47.978%) route 0.099ns (52.022%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 CLK - SLICE_X39Y90 FDRE r registered_input.in_key_reg[111]__0/C - ------------------------------------------------------------------- ------------------- - SLICE_X39Y90 FDRE (Prop_fdre_C_Q) 0.091 0.374 r registered_input.in_key_reg[111]__0/Q - net (fo=1, routed) 0.099 0.473 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][111]__0_0 - SLICE_X38Y90 SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][111]_srl5/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[0].hash/mix_pipeline[0].mix/CLK - SLICE_X38Y90 SRL16E r hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][111]_srl5/CLK - clock pessimism 0.000 0.298 - SLICE_X38Y90 SRL16E (Hold_srl16e_CLK_D) - 0.118 0.416 hash_generate[0].hash/mix_pipeline[0].mix/s_reg[5][key][111]_srl5 - ------------------------------------------------------------------- - required time -0.416 - arrival time 0.473 - ------------------------------------------------------------------- - slack 0.057 - -Slack (MET) : 0.091ns (arrival time - required time) - Source: hash_generate[1].hash/final/s_reg[1][b][29]/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[1].hash/final/s_reg[2][b][29]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.155ns (logic 0.100ns (64.432%) route 0.055ns (35.568%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 hash_generate[1].hash/final/CLK - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[1][b][29]/C - ------------------------------------------------------------------- ------------------- - SLICE_X5Y109 FDRE (Prop_fdre_C_Q) 0.100 0.383 r hash_generate[1].hash/final/s_reg[1][b][29]/Q - net (fo=1, routed) 0.055 0.438 hash_generate[1].hash/final/s_reg[1][b][29] - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[2][b][29]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[1].hash/final/CLK - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[2][b][29]/C - clock pessimism 0.000 0.298 - SLICE_X5Y109 FDRE (Hold_fdre_C_D) 0.049 0.347 hash_generate[1].hash/final/s_reg[2][b][29] - ------------------------------------------------------------------- - required time -0.347 - arrival time 0.438 - ------------------------------------------------------------------- - slack 0.091 - -Slack (MET) : 0.091ns (arrival time - required time) - Source: hash_generate[3].hash/final/s_reg[3][a][3]/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[3].hash/final/s_reg[4][a][3]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.155ns (logic 0.100ns (64.432%) route 0.055ns (35.568%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 hash_generate[3].hash/final/CLK - SLICE_X1Y75 FDRE r hash_generate[3].hash/final/s_reg[3][a][3]/C - ------------------------------------------------------------------- ------------------- - SLICE_X1Y75 FDRE (Prop_fdre_C_Q) 0.100 0.383 r hash_generate[3].hash/final/s_reg[3][a][3]/Q - net (fo=1, routed) 0.055 0.438 hash_generate[3].hash/final/s_reg[3][a][3] - SLICE_X1Y75 FDRE r hash_generate[3].hash/final/s_reg[4][a][3]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[3].hash/final/CLK - SLICE_X1Y75 FDRE r hash_generate[3].hash/final/s_reg[4][a][3]/C - clock pessimism 0.000 0.298 - SLICE_X1Y75 FDRE (Hold_fdre_C_D) 0.049 0.347 hash_generate[3].hash/final/s_reg[4][a][3] - ------------------------------------------------------------------- - required time -0.347 - arrival time 0.438 - ------------------------------------------------------------------- - slack 0.091 - -Slack (MET) : 0.093ns (arrival time - required time) - Source: hash_generate[3].hash/mix_pipeline[0].mix/s_reg[4][a][31]/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[3].hash/mix_pipeline[0].mix/s_reg[5][b][18]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.194ns (logic 0.128ns (65.840%) route 0.066ns (34.160%)) - Logic Levels: 1 (LUT2=1) - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 hash_generate[3].hash/mix_pipeline[0].mix/CLK - SLICE_X9Y88 FDRE r hash_generate[3].hash/mix_pipeline[0].mix/s_reg[4][a][31]/C - ------------------------------------------------------------------- ------------------- - SLICE_X9Y88 FDRE (Prop_fdre_C_Q) 0.100 0.383 r hash_generate[3].hash/mix_pipeline[0].mix/s_reg[4][a][31]/Q - net (fo=3, routed) 0.066 0.450 hash_generate[3].hash/mix_pipeline[0].mix/rot0_in[13] - SLICE_X8Y88 LUT2 (Prop_lut2_I0_O) 0.028 0.478 r hash_generate[3].hash/mix_pipeline[0].mix/s[5][b][18]_i_1__2/O - net (fo=1, routed) 0.000 0.478 hash_generate[3].hash/mix_pipeline[0].mix/s_reg[5][b]0[18] - SLICE_X8Y88 FDRE r hash_generate[3].hash/mix_pipeline[0].mix/s_reg[5][b][18]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[3].hash/mix_pipeline[0].mix/CLK - SLICE_X8Y88 FDRE r hash_generate[3].hash/mix_pipeline[0].mix/s_reg[5][b][18]/C - clock pessimism 0.000 0.298 - SLICE_X8Y88 FDRE (Hold_fdre_C_D) 0.087 0.385 hash_generate[3].hash/mix_pipeline[0].mix/s_reg[5][b][18] - ------------------------------------------------------------------- - required time -0.385 - arrival time 0.478 - ------------------------------------------------------------------- - slack 0.093 - -Slack (MET) : 0.093ns (arrival time - required time) - Source: hash_generate[0].hash/final/s_reg[1][b][30]/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[0].hash/final/s_reg[2][b][30]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.155ns (logic 0.100ns (64.432%) route 0.055ns (35.568%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 hash_generate[0].hash/final/CLK - SLICE_X17Y111 FDRE r hash_generate[0].hash/final/s_reg[1][b][30]/C - ------------------------------------------------------------------- ------------------- - SLICE_X17Y111 FDRE (Prop_fdre_C_Q) 0.100 0.383 r hash_generate[0].hash/final/s_reg[1][b][30]/Q - net (fo=1, routed) 0.055 0.438 hash_generate[0].hash/final/s_reg[1][b][30] - SLICE_X17Y111 FDRE r hash_generate[0].hash/final/s_reg[2][b][30]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[0].hash/final/CLK - SLICE_X17Y111 FDRE r hash_generate[0].hash/final/s_reg[2][b][30]/C - clock pessimism 0.000 0.298 - SLICE_X17Y111 FDRE (Hold_fdre_C_D) 0.047 0.345 hash_generate[0].hash/final/s_reg[2][b][30] - ------------------------------------------------------------------- - required time -0.345 - arrival time 0.438 - ------------------------------------------------------------------- - slack 0.093 - -Slack (MET) : 0.093ns (arrival time - required time) - Source: hash_generate[1].hash/final/s_reg[1][b][10]/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[1].hash/final/s_reg[2][b][10]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.155ns (logic 0.100ns (64.432%) route 0.055ns (35.568%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 hash_generate[1].hash/final/CLK - SLICE_X5Y105 FDRE r hash_generate[1].hash/final/s_reg[1][b][10]/C - ------------------------------------------------------------------- ------------------- - SLICE_X5Y105 FDRE (Prop_fdre_C_Q) 0.100 0.383 r hash_generate[1].hash/final/s_reg[1][b][10]/Q - net (fo=1, routed) 0.055 0.438 hash_generate[1].hash/final/s_reg[1][b][10] - SLICE_X5Y105 FDRE r hash_generate[1].hash/final/s_reg[2][b][10]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[1].hash/final/CLK - SLICE_X5Y105 FDRE r hash_generate[1].hash/final/s_reg[2][b][10]/C - clock pessimism 0.000 0.298 - SLICE_X5Y105 FDRE (Hold_fdre_C_D) 0.047 0.345 hash_generate[1].hash/final/s_reg[2][b][10] - ------------------------------------------------------------------- - required time -0.345 - arrival time 0.438 - ------------------------------------------------------------------- - slack 0.093 - -Slack (MET) : 0.093ns (arrival time - required time) - Source: hash_generate[1].hash/final/s_reg[1][b][20]/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[1].hash/final/s_reg[2][b][20]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.155ns (logic 0.100ns (64.432%) route 0.055ns (35.568%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 hash_generate[1].hash/final/CLK - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[1][b][20]/C - ------------------------------------------------------------------- ------------------- - SLICE_X5Y109 FDRE (Prop_fdre_C_Q) 0.100 0.383 r hash_generate[1].hash/final/s_reg[1][b][20]/Q - net (fo=1, routed) 0.055 0.438 hash_generate[1].hash/final/s_reg[1][b][20] - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[2][b][20]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[1].hash/final/CLK - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[2][b][20]/C - clock pessimism 0.000 0.298 - SLICE_X5Y109 FDRE (Hold_fdre_C_D) 0.047 0.345 hash_generate[1].hash/final/s_reg[2][b][20] - ------------------------------------------------------------------- - required time -0.345 - arrival time 0.438 - ------------------------------------------------------------------- - slack 0.093 - -Slack (MET) : 0.093ns (arrival time - required time) - Source: hash_generate[1].hash/final/s_reg[1][b][27]/C - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Destination: hash_generate[1].hash/final/s_reg[2][b][27]/D - (rising edge-triggered cell FDRE clocked by CLK {rise@0.000ns fall@2.000ns period=4.000ns}) - Path Group: CLK - Path Type: Hold (Min at Fast Process Corner) - Requirement: 0.000ns (CLK rise@0.000ns - CLK rise@0.000ns) - Data Path Delay: 0.155ns (logic 0.100ns (64.432%) route 0.055ns (35.568%)) - Logic Levels: 0 - Clock Path Skew: 0.015ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): 0.298ns - Source Clock Delay (SCD): 0.283ns - Clock Pessimism Removal (CPR): -0.000ns - - Location Delay type Incr(ns) Path(ns) Netlist Resource(s) - ------------------------------------------------------------------- ------------------- - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.283 0.283 hash_generate[1].hash/final/CLK - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[1][b][27]/C - ------------------------------------------------------------------- ------------------- - SLICE_X5Y109 FDRE (Prop_fdre_C_Q) 0.100 0.383 r hash_generate[1].hash/final/s_reg[1][b][27]/Q - net (fo=1, routed) 0.055 0.438 hash_generate[1].hash/final/s_reg[1][b][27] - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[2][b][27]/D - ------------------------------------------------------------------- ------------------- - - (clock CLK rise edge) 0.000 0.000 r - 0.000 0.000 r CLK (IN) - net (fo=5071, unset) 0.298 0.298 hash_generate[1].hash/final/CLK - SLICE_X5Y109 FDRE r hash_generate[1].hash/final/s_reg[2][b][27]/C - clock pessimism 0.000 0.298 - SLICE_X5Y109 FDRE (Hold_fdre_C_D) 0.047 0.345 hash_generate[1].hash/final/s_reg[2][b][27] - ------------------------------------------------------------------- - required time -0.345 - arrival time 0.438 - ------------------------------------------------------------------- - slack 0.093 - - - - - -Pulse Width Checks --------------------------------------------------------------------------------------- -Clock Name: CLK -Waveform(ns): { 0.000 2.000 } -Period(ns): 4.000 -Sources: { CLK } - -Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X1Y13 storage_generate[0].storage/memory_reg_0/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X1Y16 storage_generate[0].storage/memory_reg_1/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X1Y15 storage_generate[0].storage/memory_reg_2/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X1Y17 storage_generate[0].storage/memory_reg_3/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X1Y18 storage_generate[0].storage/memory_reg_4/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X1Y14 storage_generate[0].storage/memory_reg_5/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X1Y20 storage_generate[0].storage/memory_reg_6/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X1Y19 storage_generate[0].storage/memory_reg_7/CLKARDCLK -Min Period n/a RAMB18E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB18_X1Y42 storage_generate[0].storage/memory_reg_8/CLKARDCLK -Min Period n/a RAMB36E1/CLKARDCLK n/a 2.495 4.000 1.505 RAMB36_X4Y14 storage_generate[1].storage/memory_reg_0/CLKARDCLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X22Y78 hash_generate[0].hash/final/s_reg[7][key][0]_srl13/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X22Y78 hash_generate[0].hash/final/s_reg[7][key][0]_srl13/CLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][100]_srl7/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][100]_srl7/CLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][101]_srl7/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][101]_srl7/CLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][102]_srl7/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][102]_srl7/CLK -Low Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][103]_srl7/CLK -Low Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][103]_srl7/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X22Y78 hash_generate[0].hash/final/s_reg[7][key][0]_srl13/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X22Y78 hash_generate[0].hash/final/s_reg[7][key][0]_srl13/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][100]_srl7/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][100]_srl7/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][101]_srl7/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][101]_srl7/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][102]_srl7/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][102]_srl7/CLK -High Pulse Width Slow SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][103]_srl7/CLK -High Pulse Width Fast SRL16E/CLK n/a 0.780 2.000 1.220 SLICE_X40Y86 hash_generate[0].hash/final/s_reg[7][key][103]_srl7/CLK - - - diff --git a/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.rpx b/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.rpx deleted file mode 100644 index 2b1b910..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_timing_summary_routed.rpx and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_utilization_placed.pb b/synth/filter_vivado.runs/impl_1/filter_utilization_placed.pb deleted file mode 100644 index 2735fc4..0000000 Binary files a/synth/filter_vivado.runs/impl_1/filter_utilization_placed.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/filter_utilization_placed.rpt b/synth/filter_vivado.runs/impl_1/filter_utilization_placed.rpt deleted file mode 100644 index e7b56a9..0000000 --- a/synth/filter_vivado.runs/impl_1/filter_utilization_placed.rpt +++ /dev/null @@ -1,217 +0,0 @@ -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:18:40 2023 -| Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux -| Command : report_utilization -file filter_utilization_placed.rpt -pb filter_utilization_placed.pb -| Design : filter -| Device : xc7k160tffv676-1 -| Speed File : -1 -| Design State : Fully Placed ---------------------------------------------------------------------------------------------------------------------------------------------- - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Slice Logic Distribution -3. Memory -4. DSP -5. IO and GT Specific -6. Clocking -7. Specific Feature -8. Primitives -9. Black Boxes -10. Instantiated Netlists - -1. Slice Logic --------------- - -+----------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+----------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs | 3706 | 0 | 0 | 101400 | 3.65 | -| LUT as Logic | 3624 | 0 | 0 | 101400 | 3.57 | -| LUT as Memory | 82 | 0 | 0 | 35000 | 0.23 | -| LUT as Distributed RAM | 0 | 0 | | | | -| LUT as Shift Register | 82 | 0 | | | | -| Slice Registers | 4839 | 0 | 0 | 202800 | 2.39 | -| Register as Flip Flop | 4839 | 0 | 0 | 202800 | 2.39 | -| Register as Latch | 0 | 0 | 0 | 202800 | 0.00 | -| F7 Muxes | 0 | 0 | 0 | 50700 | 0.00 | -| F8 Muxes | 0 | 0 | 0 | 25350 | 0.00 | -+----------------------------+------+-------+------------+-----------+-------+ -* Warning! LUT value is adjusted to account for LUT combining. - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 4839 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Slice Logic Distribution ---------------------------- - -+--------------------------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+--------------------------------------------+------+-------+------------+-----------+-------+ -| Slice | 1259 | 0 | 0 | 25350 | 4.97 | -| SLICEL | 823 | 0 | | | | -| SLICEM | 436 | 0 | | | | -| LUT as Logic | 3624 | 0 | 0 | 101400 | 3.57 | -| using O5 output only | 0 | | | | | -| using O6 output only | 2886 | | | | | -| using O5 and O6 | 738 | | | | | -| LUT as Memory | 82 | 0 | 0 | 35000 | 0.23 | -| LUT as Distributed RAM | 0 | 0 | | | | -| LUT as Shift Register | 82 | 0 | | | | -| using O5 output only | 1 | | | | | -| using O6 output only | 2 | | | | | -| using O5 and O6 | 79 | | | | | -| Slice Registers | 4839 | 0 | 0 | 202800 | 2.39 | -| Register driven from within the Slice | 2898 | | | | | -| Register driven from outside the Slice | 1941 | | | | | -| LUT in front of the register is unused | 862 | | | | | -| LUT in front of the register is used | 1079 | | | | | -| Unique Control Sets | 2 | | 0 | 25350 | <0.01 | -+--------------------------------------------+------+-------+------------+-----------+-------+ -* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. - - -3. Memory ---------- - -+-------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-------------------+------+-------+------------+-----------+-------+ -| Block RAM Tile | 34 | 0 | 0 | 325 | 10.46 | -| RAMB36/FIFO* | 32 | 0 | 0 | 325 | 9.85 | -| RAMB36E1 only | 32 | | | | | -| RAMB18 | 4 | 0 | 0 | 650 | 0.62 | -| RAMB18E1 only | 4 | | | | | -+-------------------+------+-------+------------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -4. DSP ------- - -+-----------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 600 | 0.00 | -+-----------+------+-------+------------+-----------+-------+ - - -5. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 0 | 0 | 0 | 400 | 0.00 | -| Bonded IPADs | 0 | 0 | 0 | 26 | 0.00 | -| Bonded OPADs | 0 | 0 | 0 | 16 | 0.00 | -| PHY_CONTROL | 0 | 0 | 0 | 8 | 0.00 | -| PHASER_REF | 0 | 0 | 0 | 8 | 0.00 | -| OUT_FIFO | 0 | 0 | 0 | 32 | 0.00 | -| IN_FIFO | 0 | 0 | 0 | 32 | 0.00 | -| IDELAYCTRL | 0 | 0 | 0 | 8 | 0.00 | -| IBUFDS | 0 | 0 | 0 | 384 | 0.00 | -| GTXE2_COMMON | 0 | 0 | 0 | 2 | 0.00 | -| GTXE2_CHANNEL | 0 | 0 | 0 | 8 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 32 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 32 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 400 | 0.00 | -| ODELAYE2/ODELAYE2_FINEDELAY | 0 | 0 | 0 | 150 | 0.00 | -| IBUFDS_GTE2 | 0 | 0 | 0 | 4 | 0.00 | -| ILOGIC | 0 | 0 | 0 | 400 | 0.00 | -| OLOGIC | 0 | 0 | 0 | 400 | 0.00 | -+-----------------------------+------+-------+------------+-----------+-------+ - - -6. Clocking ------------ - -+------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+------------+------+-------+------------+-----------+-------+ -| BUFGCTRL | 0 | 0 | 0 | 32 | 0.00 | -| BUFIO | 0 | 0 | 0 | 32 | 0.00 | -| MMCME2_ADV | 0 | 0 | 0 | 8 | 0.00 | -| PLLE2_ADV | 0 | 0 | 0 | 8 | 0.00 | -| BUFMRCE | 0 | 0 | 0 | 16 | 0.00 | -| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | -| BUFR | 0 | 0 | 0 | 32 | 0.00 | -+------------+------+-------+------------+-----------+-------+ - - -7. Specific Feature -------------------- - -+-------------+------+-------+------------+-----------+-------+ -| Site Type | Used | Fixed | Prohibited | Available | Util% | -+-------------+------+-------+------------+-----------+-------+ -| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | -| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | -| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+------------+-----------+-------+ - - -8. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| FDRE | 4839 | Flop & Latch | -| LUT2 | 3166 | LUT | -| LUT3 | 764 | LUT | -| CARRY4 | 745 | CarryLogic | -| LUT1 | 227 | LUT | -| LUT6 | 201 | LUT | -| SRL16E | 161 | Distributed Memory | -| RAMB36E1 | 32 | Block Memory | -| RAMB18E1 | 4 | Block Memory | -| LUT4 | 4 | LUT | -+----------+------+---------------------+ - - -9. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -10. Instantiated Netlists -------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/synth/filter_vivado.runs/impl_1/gen_run.xml b/synth/filter_vivado.runs/impl_1/gen_run.xml deleted file mode 100644 index 3ea6ddc..0000000 --- a/synth/filter_vivado.runs/impl_1/gen_run.xml +++ /dev/null @@ -1,166 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/synth/filter_vivado.runs/impl_1/htr.txt b/synth/filter_vivado.runs/impl_1/htr.txt deleted file mode 100644 index 4e70aab..0000000 --- a/synth/filter_vivado.runs/impl_1/htr.txt +++ /dev/null @@ -1,10 +0,0 @@ -# -# Vivado(TM) -# htr.txt: a Vivado-generated description of how-to-repeat the -# the basic steps of a run. Note that runme.bat/sh needs -# to be invoked for Vivado to track run status. -# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. -# - -vivado -log filter.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace diff --git a/synth/filter_vivado.runs/impl_1/init_design.pb b/synth/filter_vivado.runs/impl_1/init_design.pb deleted file mode 100644 index 120a11d..0000000 Binary files a/synth/filter_vivado.runs/impl_1/init_design.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/opt_design.pb b/synth/filter_vivado.runs/impl_1/opt_design.pb deleted file mode 100644 index 6d53da4..0000000 Binary files a/synth/filter_vivado.runs/impl_1/opt_design.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/phys_opt_design.pb b/synth/filter_vivado.runs/impl_1/phys_opt_design.pb deleted file mode 100644 index 241ad64..0000000 Binary files a/synth/filter_vivado.runs/impl_1/phys_opt_design.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/place_design.pb b/synth/filter_vivado.runs/impl_1/place_design.pb deleted file mode 100644 index 8081bc5..0000000 Binary files a/synth/filter_vivado.runs/impl_1/place_design.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/project.wdf b/synth/filter_vivado.runs/impl_1/project.wdf deleted file mode 100644 index ca8c64f..0000000 --- a/synth/filter_vivado.runs/impl_1/project.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:37:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3566643632386632633138353432663961623136653661643866623536373363:506172656e742050412070726f6a656374204944:00 -eof:3523505476 diff --git a/synth/filter_vivado.runs/impl_1/route_design.pb b/synth/filter_vivado.runs/impl_1/route_design.pb deleted file mode 100644 index 4ad4a62..0000000 Binary files a/synth/filter_vivado.runs/impl_1/route_design.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/rundef.js b/synth/filter_vivado.runs/impl_1/rundef.js deleted file mode 100644 index 3b636df..0000000 --- a/synth/filter_vivado.runs/impl_1/rundef.js +++ /dev/null @@ -1,45 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. -// - -echo "This script was generated under a different operating system." -echo "Please update the PATH variable below, before executing this script" -exit - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "/tools/Xilinx/Vivado/2023.2/ids_lite/ISE/bin/lin64;/tools/Xilinx/Vivado/2023.2/bin;"; -} else { - PathVal = "/tools/Xilinx/Vivado/2023.2/ids_lite/ISE/bin/lin64;/tools/Xilinx/Vivado/2023.2/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -// pre-commands: -ISETouchFile( "init_design", "begin" ); -ISEStep( "vivado", - "-log filter.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace" ); - - - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/synth/filter_vivado.runs/impl_1/runme.bat b/synth/filter_vivado.runs/impl_1/runme.bat deleted file mode 100644 index 3a5f853..0000000 --- a/synth/filter_vivado.runs/impl_1/runme.bat +++ /dev/null @@ -1,12 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -rem Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -set PATH=%SYSTEMROOT%\system32;%PATH% -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/synth/filter_vivado.runs/impl_1/runme.log b/synth/filter_vivado.runs/impl_1/runme.log deleted file mode 100644 index ad5661b..0000000 --- a/synth/filter_vivado.runs/impl_1/runme.log +++ /dev/null @@ -1,1132 +0,0 @@ - -*** Running vivado - with args -log filter.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace - - -****** Vivado v2023.2 (64-bit) - **** SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 - **** IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 - **** SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 - ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. - ** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. - -source filter.tcl -notrace -create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 1271.086 ; gain = 13.898 ; free physical = 453 ; free virtual = 4400 -Command: link_design -top filter -part xc7k160tffv676-1 -Design is defaulting to srcset: sources_1 -Design is defaulting to constrset: constrs_1 -INFO: [Device 21-403] Loading part xc7k160tffv676-1 -Netlist sorting complete. Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.06 . Memory (MB): peak = 1630.898 ; gain = 0.000 ; free physical = 245 ; free virtual = 4101 -INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2023.2 -INFO: [Project 1-570] Preparing netlist for logic optimization -Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 1749.242 ; gain = 0.000 ; free physical = 141 ; free virtual = 4005 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -7 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. -link_design completed successfully -link_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 1752.246 ; gain = 481.160 ; free physical = 201 ; free virtual = 4001 -Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' -Running DRC as a precondition to command opt_design - -Starting DRC Task -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Project 1-461] DRC finished with 0 Errors -INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1801.070 ; gain = 48.824 ; free physical = 184 ; free virtual = 3988 - -Starting Cache Timing Information Task -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: b84391e5 - -Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2321.945 ; gain = 520.875 ; free physical = 131 ; free virtual = 3537 - -Starting Logic Optimization Task - -Phase 1 Initialization - -Phase 1.1 Core Generation And Design Setup -Phase 1.1 Core Generation And Design Setup | Checksum: b84391e5 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 138 ; free virtual = 3239 - -Phase 1.2 Setup Constraints And Sort Netlist -Phase 1.2 Setup Constraints And Sort Netlist | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 139 ; free virtual = 3239 -Phase 1 Initialization | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 139 ; free virtual = 3239 - -Phase 2 Timer Update And Timing Data Collection - -Phase 2.1 Timer Update -Phase 2.1 Timer Update | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.57 ; elapsed = 00:00:00.33 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 144 ; free virtual = 3235 - -Phase 2.2 Timing Data Collection -Phase 2.2 Timing Data Collection | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.59 ; elapsed = 00:00:00.37 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 139 ; free virtual = 3233 -Phase 2 Timer Update And Timing Data Collection | Checksum: b84391e5 - -Time (s): cpu = 00:00:00.59 ; elapsed = 00:00:00.37 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 139 ; free virtual = 3233 - -Phase 3 Retarget -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 3 Retarget | Checksum: 9b15171f - -Time (s): cpu = 00:00:00.75 ; elapsed = 00:00:00.61 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 122 ; free virtual = 3229 -Retarget | Checksum: 9b15171f -INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells - -Phase 4 Constant propagation -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 4 Constant propagation | Checksum: 11aad8966 - -Time (s): cpu = 00:00:00.87 ; elapsed = 00:00:00.73 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 130 ; free virtual = 3228 -Constant propagation | Checksum: 11aad8966 -INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells - -Phase 5 Sweep -Phase 5 Sweep | Checksum: 90bb4c32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.96 . Memory (MB): peak = 2627.750 ; gain = 0.000 ; free physical = 133 ; free virtual = 3226 -Sweep | Checksum: 90bb4c32 -INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells - -Phase 6 BUFG optimization -Phase 6 BUFG optimization | Checksum: 90bb4c32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 133 ; free virtual = 3226 -BUFG optimization | Checksum: 90bb4c32 -INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. - -Phase 7 Shift Register Optimization -INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 7 Shift Register Optimization | Checksum: 90bb4c32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 133 ; free virtual = 3226 -Shift Register Optimization | Checksum: 90bb4c32 -INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells - -Phase 8 Post Processing Netlist -Phase 8 Post Processing Netlist | Checksum: 90bb4c32 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 133 ; free virtual = 3226 -Post Processing Netlist | Checksum: 90bb4c32 -INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells - -Phase 9 Finalization - -Phase 9.1 Finalizing Design Cores and Updating Shapes -Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 129 ; free virtual = 3224 - -Phase 9.2 Verifying Netlist Connectivity - -Starting Connectivity Check Task - -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2659.766 ; gain = 0.000 ; free physical = 129 ; free virtual = 3224 -Phase 9.2 Verifying Netlist Connectivity | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 129 ; free virtual = 3224 -Phase 9 Finalization | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 129 ; free virtual = 3224 -Opt_design Change Summary -========================= - - -------------------------------------------------------------------------------------------------------------------------- -| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | -------------------------------------------------------------------------------------------------------------------------- -| Retarget | 0 | 0 | 0 | -| Constant propagation | 0 | 0 | 0 | -| Sweep | 0 | 0 | 0 | -| BUFG optimization | 0 | 0 | 0 | -| Shift Register Optimization | 0 | 0 | 0 | -| Post Processing Netlist | 0 | 0 | 0 | -------------------------------------------------------------------------------------------------------------------------- - - -Ending Logic Optimization Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 2659.766 ; gain = 32.016 ; free physical = 124 ; free virtual = 3223 -INFO: [Constraints 18-11670] Building netlist checker database with flags, 0x8 -Done building netlist checker database: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2659.766 ; gain = 0.000 ; free physical = 122 ; free virtual = 3223 - -Starting Power Optimization Task -INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -INFO: [Pwropt 34-9] Applying IDT optimizations ... -INFO: [Pwropt 34-10] Applying ODC optimizations ... - - -Starting PowerOpt Patch Enables Task -INFO: [Pwropt 34-162] WRITE_MODE attribute of 0 BRAM(s) out of a total of 36 has been updated to save power. Run report_power_opt to get a complete listing of the BRAMs updated. -INFO: [Pwropt 34-201] Structural ODC has moved 0 WE to EN ports -Number of BRAM Ports augmented: 0 newly gated: 0 Total Ports: 72 -Ending PowerOpt Patch Enables Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:00.23 ; elapsed = 00:00:00.23 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 253 ; free virtual = 3103 -Ending Power Optimization Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:23 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.641 ; gain = 139.875 ; free physical = 247 ; free virtual = 3101 - -Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 247 ; free virtual = 3101 - -Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 246 ; free virtual = 3101 -Ending Netlist Obfuscation Task | Checksum: 7c6f4f97 - -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 246 ; free virtual = 3101 -INFO: [Common 17-83] Releasing license: Implementation -30 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. -opt_design completed successfully -opt_design: Time (s): cpu = 00:00:43 ; elapsed = 00:00:41 . Memory (MB): peak = 2799.641 ; gain = 1047.395 ; free physical = 244 ; free virtual = 3100 -INFO: [runtcl-4] Executing : report_drc -file filter_drc_opted.rpt -pb filter_drc_opted.pb -rpx filter_drc_opted.rpx -Command: report_drc -file filter_drc_opted.rpt -pb filter_drc_opted.pb -rpx filter_drc_opted.rpx -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2023.2/data/ip'. -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_drc_opted.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_opted.rpt -pb filter_timing_summary_opted.pb -rpx filter_timing_summary_opted.rpx -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -WARNING: [Timing 38-242] The property HD.CLK_SRC of clock port "CLK" is not set. In out-of-context mode, this prevents timing estimation for clock delay/skew -Resolution: Set the HD.CLK_SRC property of the out-of-context port to the location of the clock buffer instance in the top-level design -INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 190 ; free virtual = 3050 -INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_opt.dcp' has been generated. -Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-83] Releasing license: Implementation -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command place_design -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs - -Starting Placer Task - -Phase 1 Placer Initialization - -Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3034 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 3c0b3cc1 - -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3034 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3034 - -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 8ad34538 - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.82 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 168 ; free virtual = 3029 - -Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: b07d1e41 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 143 ; free virtual = 3025 - -Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: b07d1e41 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 143 ; free virtual = 3025 -Phase 1 Placer Initialization | Checksum: b07d1e41 - -Time (s): cpu = 00:00:06 ; elapsed = 00:00:03 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 138 ; free virtual = 3024 - -Phase 2 Global Placement - -Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 9cf052e5 - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3026 - -Phase 2.2 Update Timing before SLR Path Opt -Phase 2.2 Update Timing before SLR Path Opt | Checksum: c73f8a70 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3026 - -Phase 2.3 Post-Processing in Floorplanning -Phase 2.3 Post-Processing in Floorplanning | Checksum: c73f8a70 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:04 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 127 ; free virtual = 3026 - -Phase 2.4 Global Placement Core - -Phase 2.4.1 UpdateTiming Before Physical Synthesis -Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 19a874187 - -Time (s): cpu = 00:00:27 ; elapsed = 00:00:12 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 197 ; free virtual = 3029 - -Phase 2.4.2 Physical Synthesis In Placer -INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 4 LUT instances to create LUTNM shape -INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 -INFO: [Physopt 32-1138] End 1 Pass. Optimized 2 nets or LUTs. Breaked 0 LUT, combined 2 existing LUTs and moved 0 existing LUT -INFO: [Physopt 32-65] No nets found for high-fanout optimization. -INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. -INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-1123] No candidate cells found for Shift Register to Pipeline optimization -INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-1402] Pass 1: Identified 40 candidate cells for Shift Register optimization. -INFO: [Physopt 32-775] End 1 Pass. Optimized 23 nets or cells. Created 45 new cells, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 168 ; free virtual = 3029 -INFO: [Physopt 32-526] No candidate cells for BRAM register optimization found in the design -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-846] No candidate cells for URAM register optimization found in the design -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication -INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 168 ; free virtual = 3029 - -Summary of Physical Synthesis Optimizations -============================================ - - ------------------------------------------------------------------------------------------------------------------------------------------------------------ -| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | ------------------------------------------------------------------------------------------------------------------------------------------------------------ -| LUT Combining | 0 | 2 | 2 | 0 | 1 | 00:00:00 | -| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| DSP Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Shift Register | 45 | 0 | 23 | 0 | 1 | 00:00:00 | -| BRAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| URAM Register | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Total | 45 | 2 | 25 | 0 | 9 | 00:00:00 | ------------------------------------------------------------------------------------------------------------------------------------------------------------ - - -Phase 2.4.2 Physical Synthesis In Placer | Checksum: 20b107fd7 - -Time (s): cpu = 00:00:29 ; elapsed = 00:00:13 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 164 ; free virtual = 3027 -Phase 2.4 Global Placement Core | Checksum: 1f000dffd - -Time (s): cpu = 00:00:31 ; elapsed = 00:00:14 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 163 ; free virtual = 3026 -Phase 2 Global Placement | Checksum: 1f000dffd - -Time (s): cpu = 00:00:31 ; elapsed = 00:00:14 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 162 ; free virtual = 3027 - -Phase 3 Detail Placement - -Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 1ec652ec9 - -Time (s): cpu = 00:00:33 ; elapsed = 00:00:14 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 154 ; free virtual = 3025 - -Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 13458fbed - -Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 139 ; free virtual = 3023 - -Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 10277a41b - -Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 138 ; free virtual = 3023 - -Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: d226fbde - -Time (s): cpu = 00:00:36 ; elapsed = 00:00:16 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 138 ; free virtual = 3023 - -Phase 3.5 Fast Optimization -Phase 3.5 Fast Optimization | Checksum: 132b21e47 - -Time (s): cpu = 00:00:41 ; elapsed = 00:00:18 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 134 ; free virtual = 3022 - -Phase 3.6 Small Shape Detail Placement -Phase 3.6 Small Shape Detail Placement | Checksum: 18283862c - -Time (s): cpu = 00:00:43 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 118 ; free virtual = 3014 - -Phase 3.7 Re-assign LUT pins -Phase 3.7 Re-assign LUT pins | Checksum: 19d13be25 - -Time (s): cpu = 00:00:44 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 117 ; free virtual = 3014 - -Phase 3.8 Pipeline Register Optimization -Phase 3.8 Pipeline Register Optimization | Checksum: 16d448768 - -Time (s): cpu = 00:00:44 ; elapsed = 00:00:20 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 117 ; free virtual = 3014 - -Phase 3.9 Fast Optimization -Phase 3.9 Fast Optimization | Checksum: 150dbd156 - -Time (s): cpu = 00:00:50 ; elapsed = 00:00:23 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 113 ; free virtual = 3012 -Phase 3 Detail Placement | Checksum: 150dbd156 - -Time (s): cpu = 00:00:50 ; elapsed = 00:00:23 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 113 ; free virtual = 3012 - -Phase 4 Post Placement Optimization and Clean-Up - -Phase 4.1 Post Commit Optimization -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. - -Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 151f3014f - -Phase 4.1.1.1 BUFG Insertion - -Starting Physical Synthesis Task - -Phase 1 Physical Synthesis Initialization -INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.433 | TNS=-22.659 | -Phase 1 Physical Synthesis Initialization | Checksum: 1b42db68e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.55 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 221 ; free virtual = 3024 -INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. -Ending Physical Synthesis Task | Checksum: 1b42db68e - -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.7 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 221 ; free virtual = 3024 -Phase 4.1.1.1 BUFG Insertion | Checksum: 151f3014f - -Time (s): cpu = 00:00:58 ; elapsed = 00:00:27 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 221 ; free virtual = 3024 - -Phase 4.1.1.2 Post Placement Timing Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=-1.198. For the most accurate timing information please run report_timing. -Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:40 ; elapsed = 00:01:07 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 130 ; free virtual = 3003 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:07 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 130 ; free virtual = 3003 -Phase 4.1 Post Commit Optimization | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:07 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 130 ; free virtual = 3003 - -Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3002 - -Phase 4.3 Placer Reporting - -Phase 4.3.1 Print Estimated Congestion -INFO: [Place 30-612] Post-Placement Estimated Congestion - ____________________________________________________ -| | Global Congestion | Short Congestion | -| Direction | Region Size | Region Size | -|___________|___________________|___________________| -| North| 1x1| 4x4| -|___________|___________________|___________________| -| South| 1x1| 4x4| -|___________|___________________|___________________| -| East| 1x1| 1x1| -|___________|___________________|___________________| -| West| 1x1| 1x1| -|___________|___________________|___________________| - -Phase 4.3.1 Print Estimated Congestion | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3002 -Phase 4.3 Placer Reporting | Checksum: dd4b4bc1 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3002 - -Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3003 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3003 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 8d98dd64 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3003 -Ending Placer Task | Checksum: 46adcee8 - -Time (s): cpu = 00:01:41 ; elapsed = 00:01:08 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 128 ; free virtual = 3003 -77 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. -place_design completed successfully -place_design: Time (s): cpu = 00:01:45 ; elapsed = 00:01:09 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 117 ; free virtual = 3001 -INFO: [runtcl-4] Executing : report_io -file filter_io_placed.rpt -report_io: Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.44 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 225 ; free virtual = 3021 -INFO: [runtcl-4] Executing : report_utilization -file filter_utilization_placed.rpt -pb filter_utilization_placed.pb -INFO: [runtcl-4] Executing : report_control_sets -verbose -file filter_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.15 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 207 ; free virtual = 3007 -INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 206 ; free virtual = 3008 -Wrote PlaceDB: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.67 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 198 ; free virtual = 3008 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 196 ; free virtual = 3008 -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.09 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 185 ; free virtual = 3005 -Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 184 ; free virtual = 3005 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 184 ; free virtual = 3005 -Write Physdb Complete: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.82 . Memory (MB): peak = 2799.641 ; gain = 0.000 ; free physical = 184 ; free virtual = 3005 -INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_placed.dcp' has been generated. -Command: phys_opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' - -Starting Initial Update Timing Task -WARNING: [Timing 38-242] The property HD.CLK_SRC of clock port "CLK" is not set. In out-of-context mode, this prevents timing estimation for clock delay/skew -Resolution: Set the HD.CLK_SRC property of the out-of-context port to the location of the clock buffer instance in the top-level design - -Time (s): cpu = 00:00:04 ; elapsed = 00:00:02 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 172 ; free virtual = 2990 -INFO: [Vivado_Tcl 4-1435] PhysOpt_Tcl_Interface Runtime Before Starting Physical Synthesis Task | CPU: 3.88s | WALL: 1.78s -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 172 ; free virtual = 2990 - -Starting Physical Synthesis Task - -Phase 1 Physical Synthesis Initialization -INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.198 | TNS=-18.999 | -Phase 1 Physical Synthesis Initialization | Checksum: eda825fd - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 162 ; free virtual = 2992 -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.198 | TNS=-18.999 | - -Phase 2 DSP Register Optimization -INFO: [Physopt 32-456] No candidate cells for DSP register optimization found in the design. -INFO: [Physopt 32-775] End 2 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Phase 2 DSP Register Optimization | Checksum: eda825fd - -Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 162 ; free virtual = 2992 - -Phase 3 Critical Path Optimization -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.198 | TNS=-18.999 | -INFO: [Physopt 32-702] Processed net OUTPUT_KEY_FOUND. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][40]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/out_key_found13_out. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_119_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_135_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.188 | TNS=-18.837 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][31]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/CO[0]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_10_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_20_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_34_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_49_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_64_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_79_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_94_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_109_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_124_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_143_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.179 | TNS=-18.828 | -INFO: [Physopt 32-702] Processed net OUTPUT_DATA[13]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][58]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-710] Processed net storage_generate[2].storage/D[13]. Critical path length was reduced through logic transformation on cell storage_generate[2].storage/registered_output.OUTPUT_DATA[13]_i_1_comp. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/memory_reg_0_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.179 | TNS=-18.809 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][37]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_136_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.177 | TNS=-18.754 | -INFO: [Physopt 32-702] Processed net OUTPUT_DATA[10]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_reg_0_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/out_key_found1. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_25_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_39_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_54_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_69_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_84_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_99_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_114_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_132_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.164 | TNS=-18.128 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][25]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_139_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_154_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.144 | TNS=-18.108 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][58]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_107_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.144 | TNS=-18.108 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.136 | TNS=-18.053 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][22]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_155_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.136 | TNS=-18.053 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][42]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_123_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.133 | TNS=-18.027 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_127_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.132 | TNS=-18.017 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][37]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_129_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_144_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_159_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.123 | TNS=-17.883 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][76]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_115_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.123 | TNS=-17.789 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][73]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_91_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.121 | TNS=-17.735 | -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/memory_rule[2][22]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/memory_reg_0_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/CO[0]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_4_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_8_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_13_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_18_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_23_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_28_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_33_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_38_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_43_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA_reg[15]_i_48_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_55_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.115 | TNS=-17.724 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][78]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_103_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.110 | TNS=-17.419 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][18]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_156_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.101 | TNS=-17.410 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][40]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_140_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.098 | TNS=-17.407 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][81]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_77_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.097 | TNS=-17.376 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][81]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_82_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.094 | TNS=-17.369 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][22]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_157_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_164_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.090 | TNS=-17.264 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][27]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_153_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.087 | TNS=-17.260 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][40]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_158_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.086 | TNS=-17.215 | -INFO: [Physopt 32-702] Processed net storage_generate[0].storage/memory_rule[0][94]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[0].storage/registered_output.OUTPUT_KEY_FOUND_i_67_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.084 | TNS=-17.213 | -INFO: [Physopt 32-702] Processed net storage_generate[3].storage/memory_rule[3][25]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[3].storage/registered_output.OUTPUT_KEY_FOUND_i_163_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.084 | TNS=-16.983 | -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/memory_rule[2][17]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[2].storage/registered_output.OUTPUT_DATA[15]_i_56_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 6 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/memory_reg_0_16. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.078 | TNS=-16.977 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][48]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-608] Optimized 1 net. Swapped 26 pins. -INFO: [Physopt 32-735] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_121_n_0. Optimization improves timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.072 | TNS=-16.932 | -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_reg_0_16. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net OUTPUT_KEY_FOUND. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/out_key_found13_out. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_reg_0_16. Optimizations did not improve timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.072 | TNS=-16.932 | -Netlist sorting complete. Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 143 ; free virtual = 2984 -Phase 3 Critical Path Optimization | Checksum: eda825fd - -Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2984 - -Phase 4 Critical Path Optimization -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.072 | TNS=-16.932 | -INFO: [Physopt 32-702] Processed net OUTPUT_KEY_FOUND. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/out_key_found13_out. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_6_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_15_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_29_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_44_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_59_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_74_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_89_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_reg_i_104_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_reg_0_16. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net OUTPUT_KEY_FOUND. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_rule[1][46]. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/out_key_found13_out. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/registered_output.OUTPUT_KEY_FOUND_i_122_n_0. Optimizations did not improve timing on the net. -INFO: [Physopt 32-702] Processed net storage_generate[1].storage/memory_reg_0_16. Optimizations did not improve timing on the net. -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=-1.072 | TNS=-16.932 | -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -Phase 4 Critical Path Optimization | Checksum: eda825fd - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -INFO: [Physopt 32-603] Post Physical Optimization Timing Summary | WNS=-1.072 | TNS=-16.932 | - -Summary of Physical Synthesis Optimizations -============================================ - - -------------------------------------------------------------------------------------------------------------------------------------------------------------- -| Optimization | WNS Gain (ns) | TNS Gain (ns) | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | -------------------------------------------------------------------------------------------------------------------------------------------------------------- -| DSP Register | 0.000 | 0.000 | 0 | 0 | 0 | 0 | 1 | 00:00:00 | -| Critical Path | 0.126 | 2.067 | 0 | 0 | 27 | 0 | 2 | 00:00:05 | -| Total | 0.126 | 2.067 | 0 | 0 | 27 | 0 | 3 | 00:00:05 | -------------------------------------------------------------------------------------------------------------------------------------------------------------- - - -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -Ending Physical Synthesis Task | Checksum: a12a07e7 - -Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -INFO: [Common 17-83] Releasing license: Implementation -276 Infos, 7 Warnings, 0 Critical Warnings and 0 Errors encountered. -phys_opt_design completed successfully -phys_opt_design: Time (s): cpu = 00:00:13 ; elapsed = 00:00:08 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 139 ; free virtual = 2983 -INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 134 ; free virtual = 2984 -Wrote PlaceDB: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.73 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 120 ; free virtual = 2976 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 120 ; free virtual = 2976 -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 120 ; free virtual = 2976 -Wrote Netlist Cache: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 119 ; free virtual = 2977 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 119 ; free virtual = 2977 -Write Physdb Complete: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.8 . Memory (MB): peak = 2842.672 ; gain = 0.000 ; free physical = 119 ; free virtual = 2977 -INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_physopt.dcp' has been generated. -Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7k160t' -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. -Running DRC as a precondition to command route_design -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors -INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. - - -Starting Routing Task -INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs - -Phase 1 Build RT Design -Checksum: PlaceDB: 578213a9 ConstDB: 0 ShapeSum: 2223e39e RouteDB: 0 -WARNING: [Route 35-197] Clock port "CLK" does not have an associated HD.CLK_SRC. Without this constraint, timing analysis may not be accurate and upstream checks cannot be done to ensure correct clock placement. -WARNING: [Route 35-198] Port "RESET" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "RESET". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_VALID" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_VALID". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[102]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[102]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[98]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[98]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[100]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[100]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[94]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[94]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[106]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[106]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[107]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[107]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[104]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[104]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[113]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[113]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[108]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[108]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[105]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[105]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[124]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[124]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[125]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[125]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[126]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[126]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[127]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[127]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[120]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[120]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[121]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[121]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[122]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[122]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[123]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[123]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[116]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[116]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[118]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[118]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[117]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[117]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[119]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[119]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[112]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[112]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[114]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[114]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[91]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[91]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[115]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[115]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[96]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[96]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[101]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[101]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[93]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[93]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[99]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[99]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[92]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[92]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[103]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[103]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[63]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[63]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[127]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[127]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[95]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[95]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[97]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[97]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[118]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[118]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[112]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[112]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[122]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[122]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[120]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[120]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[124]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[124]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[60]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[60]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[31]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[31]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[30]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[30]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[57]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[57]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[52]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[52]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[94]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[94]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[62]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[62]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[61]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[61]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[56]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[56]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[116]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[116]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[114]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[114]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[123]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[123]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[126]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[126]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[125]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[125]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[59]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[59]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[58]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[58]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[121]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[121]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[110]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[110]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[119]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[119]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[117]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[117]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[109]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[109]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[55]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[55]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[95]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[95]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[29]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[29]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[28]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[28]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[27]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[27]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[53]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[53]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[88]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[88]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[93]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[93]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[91]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[91]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[108]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[108]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[109]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[109]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[110]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[110]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[111]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[111]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[104]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[104]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[105]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[105]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[106]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[106]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[107]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[107]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[115]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[115]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[113]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[113]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[111]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[111]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[70]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[70]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[68]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[68]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[60]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[60]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[58]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[58]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[66]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[66]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[64]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[64]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[86]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[86]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[72]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[72]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[69]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[69]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[65]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[65]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[62]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[62]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[92]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[92]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[89]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[89]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[87]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[87]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "CONFIG_KEY[71]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "CONFIG_KEY[71]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -WARNING: [Route 35-198] Port "INPUT_KEY[100]" does not have an associated HD.PARTPIN_LOCS, which will prevent the partial routing of the signal "INPUT_KEY[100]". Without this partial route, timing analysis to/from this port will not be accurate, and no routing information for this port can be exported. -INFO: [Common 17-14] Message 'Route 35-198' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -WARNING: [Constraints 18-8777] Unable to split tiles. All required files are not available. -Post Restoration Checksum: NetGraph: f8c3490f | NumContArr: f1ba4717 | Constraints: c2a8fa9d | Timing: c2a8fa9d -Phase 1 Build RT Design | Checksum: 36fcf8560 - -Time (s): cpu = 00:00:54 ; elapsed = 00:00:37 . Memory (MB): peak = 2959.430 ; gain = 116.758 ; free physical = 110 ; free virtual = 2852 - -Phase 2 Router Initialization - -Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 36fcf8560 - -Time (s): cpu = 00:00:54 ; elapsed = 00:00:37 . Memory (MB): peak = 2959.430 ; gain = 116.758 ; free physical = 110 ; free virtual = 2852 - -Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 36fcf8560 - -Time (s): cpu = 00:00:54 ; elapsed = 00:00:37 . Memory (MB): peak = 2959.430 ; gain = 116.758 ; free physical = 110 ; free virtual = 2852 - Number of Nodes with overlaps = 0 - -Phase 2.3 Update Timing -Phase 2.3 Update Timing | Checksum: 25dc24139 - -Time (s): cpu = 00:01:02 ; elapsed = 00:00:41 . Memory (MB): peak = 2987.664 ; gain = 144.992 ; free physical = 151 ; free virtual = 2802 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.064 | TNS=-15.944| WHS=-0.016 | THS=-0.032 | - - -Router Utilization Summary - Global Vertical Routing Utilization = 0 % - Global Horizontal Routing Utilization = 0 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 7074 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 7074 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - -Phase 2 Router Initialization | Checksum: 20e1bf80c - -Time (s): cpu = 00:01:07 ; elapsed = 00:00:42 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 145 ; free virtual = 2798 - -Phase 3 Initial Routing - -Phase 3.1 Global Routing -Phase 3.1 Global Routing | Checksum: 20e1bf80c - -Time (s): cpu = 00:01:07 ; elapsed = 00:00:42 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 145 ; free virtual = 2798 - -Phase 3.2 Initial Net Routing -Phase 3.2 Initial Net Routing | Checksum: 2312d3ca6 - -Time (s): cpu = 00:01:13 ; elapsed = 00:00:44 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 145 ; free virtual = 2797 -Phase 3 Initial Routing | Checksum: 2312d3ca6 - -Time (s): cpu = 00:01:13 ; elapsed = 00:00:44 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 145 ; free virtual = 2797 - -Phase 4 Rip-up And Reroute - -Phase 4.1 Global Iteration 0 - Number of Nodes with overlaps = 206 - Number of Nodes with overlaps = 74 - Number of Nodes with overlaps = 9 - Number of Nodes with overlaps = 6 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.252 | TNS=-31.493| WHS=N/A | THS=N/A | - -Phase 4.1 Global Iteration 0 | Checksum: 2cac37e0d - -Time (s): cpu = 00:01:24 ; elapsed = 00:00:52 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 204 ; free virtual = 2786 - -Phase 4.2 Global Iteration 1 - Number of Nodes with overlaps = 95 - Number of Nodes with overlaps = 43 - Number of Nodes with overlaps = 17 - Number of Nodes with overlaps = 9 - Number of Nodes with overlaps = 5 - Number of Nodes with overlaps = 2 - Number of Nodes with overlaps = 1 - Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.255 | TNS=-31.100| WHS=N/A | THS=N/A | - -Phase 4.2 Global Iteration 1 | Checksum: 2687e85da - -Time (s): cpu = 00:01:33 ; elapsed = 00:00:58 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 199 ; free virtual = 2783 -Phase 4 Rip-up And Reroute | Checksum: 2687e85da - -Time (s): cpu = 00:01:33 ; elapsed = 00:00:59 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 199 ; free virtual = 2783 - -Phase 5 Delay and Skew Optimization - -Phase 5.1 Delay CleanUp - -Phase 5.1.1 Update Timing -Phase 5.1.1 Update Timing | Checksum: 2fe6ca81b - -Time (s): cpu = 00:01:34 ; elapsed = 00:00:59 . Memory (MB): peak = 2992.961 ; gain = 150.289 ; free physical = 199 ; free virtual = 2783 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.252 | TNS=-31.493| WHS=N/A | THS=N/A | - - Number of Nodes with overlaps = 0 -Phase 5.1 Delay CleanUp | Checksum: 187ef8df4 - -Time (s): cpu = 00:03:37 ; elapsed = 00:01:35 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 - -Phase 5.2 Clock Skew Optimization -Phase 5.2 Clock Skew Optimization | Checksum: 187ef8df4 - -Time (s): cpu = 00:03:37 ; elapsed = 00:01:35 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 -Phase 5 Delay and Skew Optimization | Checksum: 187ef8df4 - -Time (s): cpu = 00:03:37 ; elapsed = 00:01:35 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 - -Phase 6 Post Hold Fix - -Phase 6.1 Hold Fix Iter - -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 1ec99cbab - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2608 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=-1.240 | TNS=-22.556| WHS=0.038 | THS=0.000 | - -Phase 6.1 Hold Fix Iter | Checksum: 23943ec6b - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2608 -Phase 6 Post Hold Fix | Checksum: 23943ec6b - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2608 - -Phase 7 Route finalize - -Router Utilization Summary - Global Vertical Routing Utilization = 0.939418 % - Global Horizontal Routing Utilization = 1.24595 % - Routable Net Status* - *Does not include unroutable nets such as driverless and loadless. - Run report_route_status for detailed report. - Number of Failed Nets = 0 - (Failed Nets is the sum of unrouted and partially routed nets) - Number of Unrouted Nets = 0 - Number of Partially Routed Nets = 0 - Number of Node Overlaps = 0 - - ---GLOBAL Congestion: -Utilization threshold used for congestion level computation: 0.85 -Congestion Report -North Dir 1x1 Area, Max Cong = 50.4505%, No Congested Regions. -South Dir 1x1 Area, Max Cong = 60.3604%, No Congested Regions. -East Dir 1x1 Area, Max Cong = 63.2353%, No Congested Regions. -West Dir 1x1 Area, Max Cong = 52.9412%, No Congested Regions. - ------------------------------- -Reporting congestion hotspots ------------------------------- -Direction: North ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: South ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: East ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 -Direction: West ----------------- -Congested clusters found at Level 0 -Effective congestion level: 0 Aspect Ratio: 1 Sparse Ratio: 0 - -Phase 7 Route finalize | Checksum: 23943ec6b - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 - -Phase 8 Verifying routed nets - - Verification completed successfully -Phase 8 Verifying routed nets | Checksum: 23943ec6b - -Time (s): cpu = 00:03:38 ; elapsed = 00:01:36 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 136 ; free virtual = 2607 - -Phase 9 Depositing Routes -Phase 9 Depositing Routes | Checksum: 1ef2bc857 - -Time (s): cpu = 00:03:39 ; elapsed = 00:01:37 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 128 ; free virtual = 2600 - -Phase 10 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=-1.240 | TNS=-22.556| WHS=0.038 | THS=0.000 | - -WARNING: [Route 35-328] Router estimated timing not met. -Resolution: For a complete and accurate timing signoff, report_timing_summary must be run after route_design. Alternatively, route_design can be run with the -timing_summary option to enable a complete timing signoff at the end of route_design. -Phase 10 Post Router Timing | Checksum: 1ef2bc857 - -Time (s): cpu = 00:03:41 ; elapsed = 00:01:37 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 127 ; free virtual = 2599 -INFO: [Route 35-16] Router Completed Successfully - -Phase 11 Post-Route Event Processing -Phase 11 Post-Route Event Processing | Checksum: 105362d16 - -Time (s): cpu = 00:03:41 ; elapsed = 00:01:38 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 125 ; free virtual = 2599 -Ending Routing Task | Checksum: 105362d16 - -Time (s): cpu = 00:03:41 ; elapsed = 00:01:38 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 125 ; free virtual = 2599 - -Routing Is Done. -INFO: [Common 17-83] Releasing license: Implementation -295 Infos, 110 Warnings, 0 Critical Warnings and 0 Errors encountered. -route_design completed successfully -route_design: Time (s): cpu = 00:03:46 ; elapsed = 00:01:40 . Memory (MB): peak = 3153.961 ; gain = 311.289 ; free physical = 109 ; free virtual = 2596 -INFO: [runtcl-4] Executing : report_drc -file filter_drc_routed.rpt -pb filter_drc_routed.pb -rpx filter_drc_routed.rpx -Command: report_drc -file filter_drc_routed.rpt -pb filter_drc_routed.pb -rpx filter_drc_routed.rpx -INFO: [IP_Flow 19-1839] IP Catalog is up to date. -INFO: [DRC 23-27] Running DRC with 4 threads -INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_drc_routed.rpt. -report_drc completed successfully -INFO: [runtcl-4] Executing : report_methodology -file filter_methodology_drc_routed.rpt -pb filter_methodology_drc_routed.pb -rpx filter_methodology_drc_routed.rpx -Command: report_methodology -file filter_methodology_drc_routed.rpt -pb filter_methodology_drc_routed.pb -rpx filter_methodology_drc_routed.rpx -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -WARNING: [Timing 38-242] The property HD.CLK_SRC of clock port "CLK" is not set. In out-of-context mode, this prevents timing estimation for clock delay/skew -Resolution: Set the HD.CLK_SRC property of the out-of-context port to the location of the clock buffer instance in the top-level design -INFO: [DRC 23-133] Running Methodology with 4 threads -INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_methodology_drc_routed.rpt. -report_methodology completed successfully -report_methodology: Time (s): cpu = 00:00:12 ; elapsed = 00:00:05 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 197 ; free virtual = 2603 -INFO: [runtcl-4] Executing : report_power -file filter_power_routed.rpt -pb filter_power_summary_routed.pb -rpx filter_power_routed.rpx -Command: report_power -file filter_power_routed.rpt -pb filter_power_summary_routed.pb -rpx filter_power_routed.rpx -WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative to clock 'CLK' defined on the same pin is not supported, ignoring it [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc:13] -INFO: [Timing 38-35] Done setting XDC timing constraints. -Running Vector-less Activity Propagation... - -Finished Running Vector-less Activity Propagation -305 Infos, 113 Warnings, 0 Critical Warnings and 0 Errors encountered. -report_power completed successfully -INFO: [runtcl-4] Executing : report_route_status -file filter_route_status.rpt -pb filter_route_status.pb -INFO: [runtcl-4] Executing : report_timing_summary -max_paths 10 -report_unconstrained -file filter_timing_summary_routed.rpt -pb filter_timing_summary_routed.pb -rpx filter_timing_summary_routed.rpx -warn_on_violation -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -CRITICAL WARNING: [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations. -INFO: [runtcl-4] Executing : report_incremental_reuse -file filter_incremental_reuse_routed.rpt -INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. -INFO: [runtcl-4] Executing : report_clock_utilization -file filter_clock_utilization_routed.rpt -INFO: [runtcl-4] Executing : report_bus_skew -warn_on_violation -file filter_bus_skew_routed.rpt -pb filter_bus_skew_routed.pb -rpx filter_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. -INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 129 ; free virtual = 2580 -Wrote PlaceDB: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.77 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 119 ; free virtual = 2577 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 119 ; free virtual = 2577 -Writing XDEF routing. -Writing XDEF routing logical nets. -Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 118 ; free virtual = 2577 -Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 117 ; free virtual = 2577 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 117 ; free virtual = 2577 -Write Physdb Complete: Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.96 . Memory (MB): peak = 3209.988 ; gain = 0.000 ; free physical = 117 ; free virtual = 2577 -INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter_routed.dcp' has been generated. -INFO: [Common 17-206] Exiting Vivado at Sun Dec 3 21:20:46 2023... diff --git a/synth/filter_vivado.runs/impl_1/runme.sh b/synth/filter_vivado.runs/impl_1/runme.sh deleted file mode 100755 index 1fc79d7..0000000 --- a/synth/filter_vivado.runs/impl_1/runme.sh +++ /dev/null @@ -1,44 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. -# - -if [ -z "$PATH" ]; then - PATH=/tools/Xilinx/Vivado/2023.2/ids_lite/ISE/bin/lin64:/tools/Xilinx/Vivado/2023.2/bin -else - PATH=/tools/Xilinx/Vivado/2023.2/ids_lite/ISE/bin/lin64:/tools/Xilinx/Vivado/2023.2/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH= -else - LD_LIBRARY_PATH=:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -# pre-commands: -/bin/touch .init_design.begin.rst -EAStep vivado -log filter.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace - - diff --git a/synth/filter_vivado.runs/impl_1/vivado.pb b/synth/filter_vivado.runs/impl_1/vivado.pb deleted file mode 100644 index 26318fe..0000000 Binary files a/synth/filter_vivado.runs/impl_1/vivado.pb and /dev/null differ diff --git a/synth/filter_vivado.runs/impl_1/vivado_147960.backup.jou b/synth/filter_vivado.runs/impl_1/vivado_147960.backup.jou new file mode 100644 index 0000000..9d9710d --- /dev/null +++ b/synth/filter_vivado.runs/impl_1/vivado_147960.backup.jou @@ -0,0 +1,14 @@ +#----------------------------------------------------------- +# Vivado v2023.2 (64-bit) +# SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 +# IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 +# SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 +# Start of session at: Mon Dec 4 22:37:41 2023 +# Process ID: 147960 +# Current directory: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1 +# Command line: vivado -log filter.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace +# Log file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter.vdi +# Journal file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/vivado.jou +# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2785.745 MHz, CPU Physical cores: 4, Host memory: 3903 MB +#----------------------------------------------------------- +source filter.tcl -notrace diff --git a/synth/filter_vivado.runs/impl_1/vivado.jou b/synth/filter_vivado.runs/impl_1/vivado_62384.backup.jou similarity index 86% rename from synth/filter_vivado.runs/impl_1/vivado.jou rename to synth/filter_vivado.runs/impl_1/vivado_62384.backup.jou index b3a6b8f..721bac4 100644 --- a/synth/filter_vivado.runs/impl_1/vivado.jou +++ b/synth/filter_vivado.runs/impl_1/vivado_62384.backup.jou @@ -3,12 +3,12 @@ # SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 # IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 # SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 -# Start of session at: Sun Dec 3 21:16:13 2023 -# Process ID: 50397 +# Start of session at: Mon Dec 4 09:11:05 2023 +# Process ID: 62384 # Current directory: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1 # Command line: vivado -log filter.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace # Log file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter.vdi # Journal file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/vivado.jou -# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2785.806 MHz, CPU Physical cores: 4, Host memory: 3903 MB +# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2785.745 MHz, CPU Physical cores: 4, Host memory: 3903 MB #----------------------------------------------------------- source filter.tcl -notrace diff --git a/synth/filter_vivado.runs/impl_1/vivado_68233.backup.jou b/synth/filter_vivado.runs/impl_1/vivado_68233.backup.jou new file mode 100644 index 0000000..24c57a4 --- /dev/null +++ b/synth/filter_vivado.runs/impl_1/vivado_68233.backup.jou @@ -0,0 +1,14 @@ +#----------------------------------------------------------- +# Vivado v2023.2 (64-bit) +# SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 +# IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 +# SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 +# Start of session at: Mon Dec 4 09:42:30 2023 +# Process ID: 68233 +# Current directory: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1 +# Command line: vivado -log filter.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source filter.tcl -notrace +# Log file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/filter.vdi +# Journal file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/vivado.jou +# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2785.745 MHz, CPU Physical cores: 4, Host memory: 3903 MB +#----------------------------------------------------------- +source filter.tcl -notrace diff --git a/synth/filter_vivado.runs/synth_1/.vivado.begin.rst b/synth/filter_vivado.runs/synth_1/.vivado.begin.rst index 9cc8a7c..f1d1942 100644 --- a/synth/filter_vivado.runs/synth_1/.vivado.begin.rst +++ b/synth/filter_vivado.runs/synth_1/.vivado.begin.rst @@ -1,5 +1,5 @@ - + diff --git a/synth/filter_vivado.runs/synth_1/filter.dcp b/synth/filter_vivado.runs/synth_1/filter.dcp index 209b7a6..66c6a6d 100644 Binary files a/synth/filter_vivado.runs/synth_1/filter.dcp and b/synth/filter_vivado.runs/synth_1/filter.dcp differ diff --git a/synth/filter_vivado.runs/synth_1/filter.vds b/synth/filter_vivado.runs/synth_1/filter.vds index ca06fbd..7846923 100644 --- a/synth/filter_vivado.runs/synth_1/filter.vds +++ b/synth/filter_vivado.runs/synth_1/filter.vds @@ -3,16 +3,16 @@ # SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 # IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 # SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 -# Start of session at: Sun Dec 3 21:14:16 2023 -# Process ID: 50094 +# Start of session at: Tue Dec 12 15:57:22 2023 +# Process ID: 23944 # Current directory: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1 # Command line: vivado -log filter.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source filter.tcl # Log file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/filter.vds # Journal file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/vivado.jou -# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2783.625 MHz, CPU Physical cores: 4, Host memory: 3903 MB +# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2785.745 MHz, CPU Physical cores: 4, Host memory: 3903 MB #----------------------------------------------------------- source filter.tcl -notrace -create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 1291.613 ; gain = 39.836 ; free physical = 147 ; free virtual = 4464 +create_project: Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1275.438 ; gain = 54.836 ; free physical = 126 ; free virtual = 5299 Command: synth_design -top filter -part xc7k160tffv676-1 -mode out_of_context Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160t' @@ -20,9 +20,9 @@ INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160 INFO: [Device 21-403] Loading part xc7k160tffv676-1 INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 50143 +INFO: [Synth 8-7075] Helper process launched with PID 23994 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 2055.203 ; gain = 403.746 ; free physical = 123 ; free virtual = 3529 +Starting RTL Elaboration : Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 2039.996 ; gain = 404.715 ; free physical = 109 ; free virtual = 4384 --------------------------------------------------------------------------------- INFO: [Synth 8-638] synthesizing module 'filter' [/home/veronikaplevacova/Plocha/PCS2/filter.vhd:17] INFO: [Synth 8-638] synthesizing module 'jenkins_hash' [/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_hash.vhd:40] @@ -50,24 +50,24 @@ INFO: [Synth 8-256] done synthesizing module 'jenkins_hash__parameterized2' (0#1 INFO: [Synth 8-638] synthesizing module 'block_memory' [/home/veronikaplevacova/Plocha/PCS2/comp/block_memory.vhd:45] Parameter ITEM_WIDTH bound to: 145 - type: integer Parameter ITEMS bound to: 2048 - type: integer - Parameter OUTPUT_REGISTER bound to: 0 - type: bool + Parameter OUTPUT_REGISTER bound to: 1 - type: bool INFO: [Synth 8-256] done synthesizing module 'block_memory' (0#1) [/home/veronikaplevacova/Plocha/PCS2/comp/block_memory.vhd:45] INFO: [Synth 8-256] done synthesizing module 'filter' (0#1) [/home/veronikaplevacova/Plocha/PCS2/filter.vhd:17] WARNING: [Synth 8-7129] Port RESET in module jenkins_final is either unconnected or has no load WARNING: [Synth 8-7129] Port RESET in module jenkins_mix is either unconnected or has no load --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2138.141 ; gain = 486.684 ; free physical = 152 ; free virtual = 3299 +Finished RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:15 . Memory (MB): peak = 2121.965 ; gain = 486.684 ; free physical = 189 ; free virtual = 4194 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:12 ; elapsed = 00:00:14 . Memory (MB): peak = 2155.953 ; gain = 504.496 ; free physical = 133 ; free virtual = 3292 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 2139.777 ; gain = 504.496 ; free physical = 191 ; free virtual = 4199 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:14 . Memory (MB): peak = 2155.953 ; gain = 504.496 ; free physical = 133 ; free virtual = 3292 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 2139.777 ; gain = 504.496 ; free physical = 191 ; free virtual = 4199 --------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.11 . Memory (MB): peak = 2155.953 ; gain = 0.000 ; free physical = 129 ; free virtual = 3282 +Netlist sorting complete. Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 2139.777 ; gain = 0.000 ; free physical = 157 ; free virtual = 4182 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints @@ -77,29 +77,29 @@ WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2269.719 ; gain = 0.000 ; free physical = 117 ; free virtual = 3243 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2249.512 ; gain = 0.000 ; free physical = 164 ; free virtual = 4283 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00.46 ; elapsed = 00:00:00.29 . Memory (MB): peak = 2269.754 ; gain = 0.000 ; free physical = 131 ; free virtual = 3246 +Constraint Validation Runtime : Time (s): cpu = 00:00:00.63 ; elapsed = 00:00:00.4 . Memory (MB): peak = 2249.547 ; gain = 0.000 ; free physical = 155 ; free virtual = 4317 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 185 ; free virtual = 3230 +Finished Constraint Validation : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 234 ; free virtual = 4289 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7k160tffv676-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 185 ; free virtual = 3230 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 232 ; free virtual = 4289 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 185 ; free virtual = 3231 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 252 ; free virtual = 4321 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 180 ; free virtual = 3229 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:29 ; elapsed = 00:00:34 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 305 ; free virtual = 4309 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics @@ -111,13 +111,13 @@ Detailed RTL Component Info : +---XORs : 2 Input 32 Bit XORs := 52 +---Registers : - 145 Bit Registers := 5 - 128 Bit Registers := 55 + 145 Bit Registers := 9 + 128 Bit Registers := 56 32 Bit Registers := 156 16 Bit Registers := 1 11 Bit Registers := 1 2 Bit Registers := 1 - 1 Bit Registers := 60 + 1 Bit Registers := 64 +---RAMs : 290K Bit (2048 X 145 bit) RAMs := 4 +---Muxes : @@ -142,7 +142,7 @@ WARNING: [Synth 8-7080] Parallel synthesis criteria is not met WARNING: [Synth 8-7129] Port RESET in module jenkins_final is either unconnected or has no load WARNING: [Synth 8-7129] Port RESET in module jenkins_mix is either unconnected or has no load --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:35 ; elapsed = 00:00:37 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 178 ; free virtual = 3189 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:37 ; elapsed = 00:00:42 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 222 ; free virtual = 4279 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting @@ -166,13 +166,13 @@ Finished ROM, RAM, DSP, Shift Register and Retiming Reporting Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:43 ; elapsed = 00:00:45 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 121 ; free virtual = 3182 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:46 ; elapsed = 00:00:51 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 143 ; free virtual = 4277 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:48 ; elapsed = 00:00:50 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 193 ; free virtual = 3161 +Finished Timing Optimization : Time (s): cpu = 00:00:50 ; elapsed = 00:00:56 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 135 ; free virtual = 4275 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting @@ -194,44 +194,8 @@ Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:52 ; elapsed = 00:00:55 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 184 ; free virtual = 3158 +Finished Technology Mapping : Time (s): cpu = 00:00:56 ; elapsed = 00:01:01 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 112 ; free virtual = 4260 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion @@ -249,37 +213,37 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:01:01 ; elapsed = 00:01:04 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished IO Insertion : Time (s): cpu = 00:01:04 ; elapsed = 00:01:10 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 172 ; free virtual = 4288 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:01:01 ; elapsed = 00:01:04 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Renaming Generated Instances : Time (s): cpu = 00:01:05 ; elapsed = 00:01:10 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 167 ; free virtual = 4297 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:02 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:06 ; elapsed = 00:01:11 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 197 ; free virtual = 4362 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:01:02 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Renaming Generated Ports : Time (s): cpu = 00:01:06 ; elapsed = 00:01:11 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 197 ; free virtual = 4362 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:01:03 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Handling Custom Attributes : Time (s): cpu = 00:01:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 197 ; free virtual = 4362 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:01:03 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Renaming Generated Nets : Time (s): cpu = 00:01:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 197 ; free virtual = 4363 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting @@ -290,9 +254,9 @@ Static Shift Register Report: |Module Name | RTL Name | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E | +------------+--------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ |filter | hash_generate[0].hash/final/s_reg[7][valid] | 13 | 1 | NO | NO | NO | 1 | 0 | -|filter | memory_key_reg[127] | 8 | 32 | NO | NO | YES | 32 | 0 | -|filter | memory_key_reg[95] | 14 | 89 | NO | NO | YES | 89 | 0 | -|filter | memory_key_reg[38] | 13 | 7 | NO | NO | YES | 7 | 0 | +|filter | memory_key_reg[127] | 9 | 32 | NO | NO | YES | 32 | 0 | +|filter | memory_key_reg[95] | 15 | 89 | NO | NO | YES | 89 | 0 | +|filter | memory_key_reg[38] | 14 | 7 | NO | NO | YES | 7 | 0 | |filter | hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][100] | 7 | 32 | NO | YES | YES | 32 | 0 | +------------+--------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ @@ -322,32 +286,32 @@ Report Cell Usage: |7 |RAMB18E1 | 4| |8 |RAMB36E1 | 32| |9 |SRL16E | 161| -|10 |FDRE | 4794| +|10 |FDRE | 4795| +------+---------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:01:03 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Writing Synthesis Report : Time (s): cpu = 00:01:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 195 ; free virtual = 4365 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 3 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:57 ; elapsed = 00:01:00 . Memory (MB): peak = 2269.754 ; gain = 504.496 ; free physical = 134 ; free virtual = 3152 -Synthesis Optimization Complete : Time (s): cpu = 00:01:03 ; elapsed = 00:01:06 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 134 ; free virtual = 3152 +Synthesis Optimization Runtime : Time (s): cpu = 00:01:00 ; elapsed = 00:01:05 . Memory (MB): peak = 2249.547 ; gain = 504.496 ; free physical = 179 ; free virtual = 4366 +Synthesis Optimization Complete : Time (s): cpu = 00:01:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 175 ; free virtual = 4368 INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2269.754 ; gain = 0.000 ; free physical = 384 ; free virtual = 3430 +Netlist sorting complete. Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 2249.547 ; gain = 0.000 ; free physical = 247 ; free virtual = 4318 INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Netlist 29-28] Unisim Transformation completed in 8 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2269.754 ; gain = 0.000 ; free physical = 311 ; free virtual = 3423 +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2249.547 ; gain = 0.000 ; free physical = 343 ; free virtual = 4560 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Synth Design complete | Checksum: 806ce973 +Synth Design complete | Checksum: 370ac47f INFO: [Common 17-83] Releasing license: Synthesis -66 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. +30 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:01:18 ; elapsed = 00:01:16 . Memory (MB): peak = 2269.754 ; gain = 978.141 ; free physical = 301 ; free virtual = 3421 -INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 1616.477; main = 1400.213; forked = 417.991 -INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3233.605; main = 2269.723; forked = 963.883 -Write ShapeDB Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2269.754 ; gain = 0.000 ; free physical = 297 ; free virtual = 3420 +synth_design: Time (s): cpu = 00:01:22 ; elapsed = 00:01:22 . Memory (MB): peak = 2249.547 ; gain = 974.109 ; free physical = 311 ; free virtual = 4544 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 1597.351; main = 1238.951; forked = 409.413 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3228.211; main = 2249.516; forked = 978.695 +Write ShapeDB Complete: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2249.547 ; gain = 0.000 ; free physical = 308 ; free virtual = 4545 INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/filter.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file filter_utilization_synth.rpt -pb filter_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sun Dec 3 21:15:58 2023... +INFO: [Common 17-206] Exiting Vivado at Tue Dec 12 15:59:09 2023... diff --git a/synth/filter_vivado.runs/synth_1/filter_utilization_synth.pb b/synth/filter_vivado.runs/synth_1/filter_utilization_synth.pb index 019656e..1113f99 100644 Binary files a/synth/filter_vivado.runs/synth_1/filter_utilization_synth.pb and b/synth/filter_vivado.runs/synth_1/filter_utilization_synth.pb differ diff --git a/synth/filter_vivado.runs/synth_1/filter_utilization_synth.rpt b/synth/filter_vivado.runs/synth_1/filter_utilization_synth.rpt index 119a4ba..9499703 100644 --- a/synth/filter_vivado.runs/synth_1/filter_utilization_synth.rpt +++ b/synth/filter_vivado.runs/synth_1/filter_utilization_synth.rpt @@ -1,7 +1,7 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2023.2 (lin64) Build 4029153 Fri Oct 13 20:13:54 MDT 2023 -| Date : Sun Dec 3 21:15:58 2023 +| Date : Tue Dec 12 15:59:09 2023 | Host : veronika-swiftsf11433 running 64-bit EndeavourOS Linux | Command : report_utilization -file filter_utilization_synth.rpt -pb filter_utilization_synth.pb | Design : filter @@ -36,8 +36,8 @@ Table of Contents | LUT as Memory | 161 | 0 | 0 | 35000 | 0.46 | | LUT as Distributed RAM | 0 | 0 | | | | | LUT as Shift Register | 161 | 0 | | | | -| Slice Registers | 4794 | 0 | 0 | 202800 | 2.36 | -| Register as Flip Flop | 4794 | 0 | 0 | 202800 | 2.36 | +| Slice Registers | 4795 | 0 | 0 | 202800 | 2.36 | +| Register as Flip Flop | 4795 | 0 | 0 | 202800 | 2.36 | | Register as Latch | 0 | 0 | 0 | 202800 | 0.00 | | F7 Muxes | 0 | 0 | 0 | 50700 | 0.00 | | F8 Muxes | 0 | 0 | 0 | 25350 | 0.00 | @@ -61,7 +61,7 @@ Warning! LUT value is adjusted to account for LUT combining. | 0 | Yes | - | Set | | 0 | Yes | - | Reset | | 0 | Yes | Set | - | -| 4794 | Yes | Reset | - | +| 4795 | Yes | Reset | - | +-------+--------------+-------------+--------------+ @@ -157,7 +157,7 @@ Warning! LUT value is adjusted to account for LUT combining. +----------+------+---------------------+ | Ref Name | Used | Functional Category | +----------+------+---------------------+ -| FDRE | 4794 | Flop & Latch | +| FDRE | 4795 | Flop & Latch | | LUT2 | 3166 | LUT | | LUT3 | 764 | LUT | | CARRY4 | 745 | CarryLogic | diff --git a/synth/filter_vivado.runs/synth_1/gen_run.xml b/synth/filter_vivado.runs/synth_1/gen_run.xml index f54d1c7..99a767f 100644 --- a/synth/filter_vivado.runs/synth_1/gen_run.xml +++ b/synth/filter_vivado.runs/synth_1/gen_run.xml @@ -1,5 +1,5 @@ - + diff --git a/synth/filter_vivado.runs/synth_1/project.wdf b/synth/filter_vivado.runs/synth_1/project.wdf new file mode 100644 index 0000000..5ddcda6 --- /dev/null +++ b/synth/filter_vivado.runs/synth_1/project.wdf @@ -0,0 +1,67 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:37:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3566643632386632633138353432663961623136653661643866623536373363:506172656e742050412070726f6a656374204944:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726f75746573:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6c6576656c:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d696e5f6c6576656c:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d63656c6c73:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d626f756e64696e675f626f786573:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617070656e64:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72657475726e5f737472696e67:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6d706c6578697479:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66756c6c5f6c6f676963616c5f70696e:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73756767657374696f6e:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d716f725f73756d6d617279:5b7370656369666965645d:00:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657874726163745f6d657472696373:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70706c6f635f64697374616e6365:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e67657374696f6e:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d74696d696e67:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f665f74696d696e675f7061746873:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657874656e64:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7061746873:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365747570:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d686f6c64:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c6f6769635f6c6576656c5f646973747269627574696f6e:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c6f6769635f6c6576656c5f646973745f7061746873:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d656e645f706f696e745f636c6f636b73:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c6f6769635f6c6576656c73:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726f757465645f76735f657374696d61746564:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f686561646572:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72657475726e5f74696d696e675f7061746873:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d68696572617263686963616c5f6465707468:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7175696574:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572626f7365:64656661756c74:5b6e6f745f7370656369666965645d:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73686f775f616c6c5f636f6e67657374696f6e5f77696e646f7773:64656661756c74:66616c7365:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d696e5f636f6e67657374696f6e5f6c6576656c:64656661756c74:35:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c7573616765:72756e74696d65:302e31322073656373:00:00 +7265706f72745f64657369676e5f616e616c79736973:7265706f72745f64657369676e5f616e616c797369735c75736167655f636f756e74:716f725f73756d6d617279:31:00:00 +eof:4113256150 diff --git a/synth/filter_vivado.runs/synth_1/runme.log b/synth/filter_vivado.runs/synth_1/runme.log index d806b18..6ddf3b3 100644 --- a/synth/filter_vivado.runs/synth_1/runme.log +++ b/synth/filter_vivado.runs/synth_1/runme.log @@ -11,7 +11,7 @@ ** Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. source filter.tcl -notrace -create_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 1291.613 ; gain = 39.836 ; free physical = 147 ; free virtual = 4464 +create_project: Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 1275.438 ; gain = 54.836 ; free physical = 126 ; free virtual = 5299 Command: synth_design -top filter -part xc7k160tffv676-1 -mode out_of_context Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7k160t' @@ -19,9 +19,9 @@ INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k160 INFO: [Device 21-403] Loading part xc7k160tffv676-1 INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 50143 +INFO: [Synth 8-7075] Helper process launched with PID 23994 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 2055.203 ; gain = 403.746 ; free physical = 123 ; free virtual = 3529 +Starting RTL Elaboration : Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 2039.996 ; gain = 404.715 ; free physical = 109 ; free virtual = 4384 --------------------------------------------------------------------------------- INFO: [Synth 8-638] synthesizing module 'filter' [/home/veronikaplevacova/Plocha/PCS2/filter.vhd:17] INFO: [Synth 8-638] synthesizing module 'jenkins_hash' [/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_hash.vhd:40] @@ -49,24 +49,24 @@ INFO: [Synth 8-256] done synthesizing module 'jenkins_hash__parameterized2' (0#1 INFO: [Synth 8-638] synthesizing module 'block_memory' [/home/veronikaplevacova/Plocha/PCS2/comp/block_memory.vhd:45] Parameter ITEM_WIDTH bound to: 145 - type: integer Parameter ITEMS bound to: 2048 - type: integer - Parameter OUTPUT_REGISTER bound to: 0 - type: bool + Parameter OUTPUT_REGISTER bound to: 1 - type: bool INFO: [Synth 8-256] done synthesizing module 'block_memory' (0#1) [/home/veronikaplevacova/Plocha/PCS2/comp/block_memory.vhd:45] INFO: [Synth 8-256] done synthesizing module 'filter' (0#1) [/home/veronikaplevacova/Plocha/PCS2/filter.vhd:17] WARNING: [Synth 8-7129] Port RESET in module jenkins_final is either unconnected or has no load WARNING: [Synth 8-7129] Port RESET in module jenkins_mix is either unconnected or has no load --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 2138.141 ; gain = 486.684 ; free physical = 152 ; free virtual = 3299 +Finished RTL Elaboration : Time (s): cpu = 00:00:12 ; elapsed = 00:00:15 . Memory (MB): peak = 2121.965 ; gain = 486.684 ; free physical = 189 ; free virtual = 4194 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:12 ; elapsed = 00:00:14 . Memory (MB): peak = 2155.953 ; gain = 504.496 ; free physical = 133 ; free virtual = 3292 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 2139.777 ; gain = 504.496 ; free physical = 191 ; free virtual = 4199 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:12 ; elapsed = 00:00:14 . Memory (MB): peak = 2155.953 ; gain = 504.496 ; free physical = 133 ; free virtual = 3292 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 2139.777 ; gain = 504.496 ; free physical = 191 ; free virtual = 4199 --------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.11 . Memory (MB): peak = 2155.953 ; gain = 0.000 ; free physical = 129 ; free virtual = 3282 +Netlist sorting complete. Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 2139.777 ; gain = 0.000 ; free physical = 157 ; free virtual = 4182 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints @@ -76,29 +76,29 @@ WARNING: [Constraints 18-6211] Setting input delay on a clock pin 'CLK' relative Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2269.719 ; gain = 0.000 ; free physical = 117 ; free virtual = 3243 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2249.512 ; gain = 0.000 ; free physical = 164 ; free virtual = 4283 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00.46 ; elapsed = 00:00:00.29 . Memory (MB): peak = 2269.754 ; gain = 0.000 ; free physical = 131 ; free virtual = 3246 +Constraint Validation Runtime : Time (s): cpu = 00:00:00.63 ; elapsed = 00:00:00.4 . Memory (MB): peak = 2249.547 ; gain = 0.000 ; free physical = 155 ; free virtual = 4317 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 185 ; free virtual = 3230 +Finished Constraint Validation : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 234 ; free virtual = 4289 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7k160tffv676-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 185 ; free virtual = 3230 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 232 ; free virtual = 4289 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:26 ; elapsed = 00:00:28 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 185 ; free virtual = 3231 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:28 ; elapsed = 00:00:33 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 252 ; free virtual = 4321 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 180 ; free virtual = 3229 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:29 ; elapsed = 00:00:34 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 305 ; free virtual = 4309 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics @@ -110,13 +110,13 @@ Detailed RTL Component Info : +---XORs : 2 Input 32 Bit XORs := 52 +---Registers : - 145 Bit Registers := 5 - 128 Bit Registers := 55 + 145 Bit Registers := 9 + 128 Bit Registers := 56 32 Bit Registers := 156 16 Bit Registers := 1 11 Bit Registers := 1 2 Bit Registers := 1 - 1 Bit Registers := 60 + 1 Bit Registers := 64 +---RAMs : 290K Bit (2048 X 145 bit) RAMs := 4 +---Muxes : @@ -141,7 +141,7 @@ WARNING: [Synth 8-7080] Parallel synthesis criteria is not met WARNING: [Synth 8-7129] Port RESET in module jenkins_final is either unconnected or has no load WARNING: [Synth 8-7129] Port RESET in module jenkins_mix is either unconnected or has no load --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:35 ; elapsed = 00:00:37 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 178 ; free virtual = 3189 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:37 ; elapsed = 00:00:42 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 222 ; free virtual = 4279 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting @@ -165,13 +165,13 @@ Finished ROM, RAM, DSP, Shift Register and Retiming Reporting Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:43 ; elapsed = 00:00:45 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 121 ; free virtual = 3182 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:46 ; elapsed = 00:00:51 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 143 ; free virtual = 4277 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:48 ; elapsed = 00:00:50 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 193 ; free virtual = 3161 +Finished Timing Optimization : Time (s): cpu = 00:00:50 ; elapsed = 00:00:56 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 135 ; free virtual = 4275 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting @@ -193,44 +193,8 @@ Finished ROM, RAM, DSP, Shift Register and Retiming Reporting --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[0].storage/memory_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[1].storage/memory_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[2].storage/memory_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_0 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_1 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_2 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_3 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_4 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_5 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_6 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_7 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. -INFO: [Synth 8-7052] The timing for the instance storage_generate[3].storage/memory_reg_8 (implemented as a Block RAM) might be sub-optimal as no optional output register could be merged into the ram block. Providing additional output register may help in improving timing. --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:52 ; elapsed = 00:00:55 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 184 ; free virtual = 3158 +Finished Technology Mapping : Time (s): cpu = 00:00:56 ; elapsed = 00:01:01 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 112 ; free virtual = 4260 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion @@ -248,37 +212,37 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:01:01 ; elapsed = 00:01:04 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished IO Insertion : Time (s): cpu = 00:01:04 ; elapsed = 00:01:10 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 172 ; free virtual = 4288 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:01:01 ; elapsed = 00:01:04 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Renaming Generated Instances : Time (s): cpu = 00:01:05 ; elapsed = 00:01:10 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 167 ; free virtual = 4297 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:02 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:06 ; elapsed = 00:01:11 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 197 ; free virtual = 4362 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:01:02 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Renaming Generated Ports : Time (s): cpu = 00:01:06 ; elapsed = 00:01:11 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 197 ; free virtual = 4362 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:01:03 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Handling Custom Attributes : Time (s): cpu = 00:01:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 197 ; free virtual = 4362 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:01:03 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Renaming Generated Nets : Time (s): cpu = 00:01:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 197 ; free virtual = 4363 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start ROM, RAM, DSP, Shift Register and Retiming Reporting @@ -289,9 +253,9 @@ Static Shift Register Report: |Module Name | RTL Name | Length | Width | Reset Signal | Pull out first Reg | Pull out last Reg | SRL16E | SRLC32E | +------------+--------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ |filter | hash_generate[0].hash/final/s_reg[7][valid] | 13 | 1 | NO | NO | NO | 1 | 0 | -|filter | memory_key_reg[127] | 8 | 32 | NO | NO | YES | 32 | 0 | -|filter | memory_key_reg[95] | 14 | 89 | NO | NO | YES | 89 | 0 | -|filter | memory_key_reg[38] | 13 | 7 | NO | NO | YES | 7 | 0 | +|filter | memory_key_reg[127] | 9 | 32 | NO | NO | YES | 32 | 0 | +|filter | memory_key_reg[95] | 15 | 89 | NO | NO | YES | 89 | 0 | +|filter | memory_key_reg[38] | 14 | 7 | NO | NO | YES | 7 | 0 | |filter | hash_generate[0].hash/mix_pipeline[0].mix/s_reg[6][key][100] | 7 | 32 | NO | YES | YES | 32 | 0 | +------------+--------------------------------------------------------------+--------+-------+--------------+--------------------+-------------------+--------+---------+ @@ -321,32 +285,32 @@ Report Cell Usage: |7 |RAMB18E1 | 4| |8 |RAMB36E1 | 32| |9 |SRL16E | 161| -|10 |FDRE | 4794| +|10 |FDRE | 4795| +------+---------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:01:03 ; elapsed = 00:01:05 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 138 ; free virtual = 3152 +Finished Writing Synthesis Report : Time (s): cpu = 00:01:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 195 ; free virtual = 4365 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 3 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:57 ; elapsed = 00:01:00 . Memory (MB): peak = 2269.754 ; gain = 504.496 ; free physical = 134 ; free virtual = 3152 -Synthesis Optimization Complete : Time (s): cpu = 00:01:03 ; elapsed = 00:01:06 . Memory (MB): peak = 2269.754 ; gain = 618.297 ; free physical = 134 ; free virtual = 3152 +Synthesis Optimization Runtime : Time (s): cpu = 00:01:00 ; elapsed = 00:01:05 . Memory (MB): peak = 2249.547 ; gain = 504.496 ; free physical = 179 ; free virtual = 4366 +Synthesis Optimization Complete : Time (s): cpu = 00:01:06 ; elapsed = 00:01:12 . Memory (MB): peak = 2249.547 ; gain = 614.266 ; free physical = 175 ; free virtual = 4368 INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.1 . Memory (MB): peak = 2269.754 ; gain = 0.000 ; free physical = 384 ; free virtual = 3430 +Netlist sorting complete. Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 2249.547 ; gain = 0.000 ; free physical = 247 ; free virtual = 4318 INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Netlist 29-28] Unisim Transformation completed in 8 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2269.754 ; gain = 0.000 ; free physical = 311 ; free virtual = 3423 +Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2249.547 ; gain = 0.000 ; free physical = 343 ; free virtual = 4560 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Synth Design complete | Checksum: 806ce973 +Synth Design complete | Checksum: 370ac47f INFO: [Common 17-83] Releasing license: Synthesis -66 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. +30 Infos, 6 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:01:18 ; elapsed = 00:01:16 . Memory (MB): peak = 2269.754 ; gain = 978.141 ; free physical = 301 ; free virtual = 3421 -INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 1616.477; main = 1400.213; forked = 417.991 -INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3233.605; main = 2269.723; forked = 963.883 -Write ShapeDB Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2269.754 ; gain = 0.000 ; free physical = 297 ; free virtual = 3420 +synth_design: Time (s): cpu = 00:01:22 ; elapsed = 00:01:22 . Memory (MB): peak = 2249.547 ; gain = 974.109 ; free physical = 311 ; free virtual = 4544 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 1597.351; main = 1238.951; forked = 409.413 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3228.211; main = 2249.516; forked = 978.695 +Write ShapeDB Complete: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2249.547 ; gain = 0.000 ; free physical = 308 ; free virtual = 4545 INFO: [Common 17-1381] The checkpoint '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/filter.dcp' has been generated. INFO: [runtcl-4] Executing : report_utilization -file filter_utilization_synth.rpt -pb filter_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Sun Dec 3 21:15:58 2023... +INFO: [Common 17-206] Exiting Vivado at Tue Dec 12 15:59:09 2023... diff --git a/synth/filter_vivado.runs/synth_1/vivado.jou b/synth/filter_vivado.runs/synth_1/vivado.jou index 7906e5b..f834636 100644 --- a/synth/filter_vivado.runs/synth_1/vivado.jou +++ b/synth/filter_vivado.runs/synth_1/vivado.jou @@ -3,12 +3,12 @@ # SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 # IP Build 4028589 on Sat Oct 14 00:45:43 MDT 2023 # SharedData Build 4025554 on Tue Oct 10 17:18:54 MDT 2023 -# Start of session at: Sun Dec 3 21:14:16 2023 -# Process ID: 50094 +# Start of session at: Tue Dec 12 15:57:22 2023 +# Process ID: 23944 # Current directory: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1 # Command line: vivado -log filter.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source filter.tcl # Log file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/filter.vds # Journal file: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/vivado.jou -# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2783.625 MHz, CPU Physical cores: 4, Host memory: 3903 MB +# Running On: veronika-swiftsf11433, OS: Linux, CPU Frequency: 2785.745 MHz, CPU Physical cores: 4, Host memory: 3903 MB #----------------------------------------------------------- source filter.tcl -notrace diff --git a/synth/filter_vivado.runs/synth_1/vivado.pb b/synth/filter_vivado.runs/synth_1/vivado.pb index 6a5a69b..2c925bb 100644 Binary files a/synth/filter_vivado.runs/synth_1/vivado.pb and b/synth/filter_vivado.runs/synth_1/vivado.pb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/compile.log b/synth/filter_vivado.sim/sim_1/behav/xsim/compile.log new file mode 100644 index 0000000..9825d44 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/compile.log @@ -0,0 +1,12 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/functions.vhd" into library xil_defaultlib +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/block_memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'block_memory' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/filter_ent.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'filter' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_mix.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'jenkins_mix' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_final.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'jenkins_final' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_hash.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'jenkins_hash' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/filter.vhd" into library xil_defaultlib diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/compile.sh b/synth/filter_vivado.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..7a109b5 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,24 @@ +#!/bin/bash -f +# **************************************************************************** +# Vivado (TM) v2023.2 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Mon Dec 04 22:28:30 CET 2023 +# SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile VHDL design sources +echo "xvhdl --incr --relax -prj filter_vhdl.prj" +xvhdl --incr --relax -prj filter_vhdl.prj 2>&1 | tee compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/elaborate.log b/synth/filter_vivado.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..574525a --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,26 @@ +Vivado Simulator v2023.2 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /tools/Xilinx/Vivado/2023.2/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot filter_behav xil_defaultlib.filter -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package ieee.std_logic_arith +Compiling package xil_defaultlib.functions_package +Compiling package ieee.std_logic_unsigned +Compiling architecture behavioral of entity xil_defaultlib.jenkins_mix [\jenkins_mix(length=4)\] +Compiling architecture behavioral of entity xil_defaultlib.jenkins_final [\jenkins_final(length=4)\] +Compiling architecture behavioral of entity xil_defaultlib.jenkins_hash [\jenkins_hash(length=4,initval="...] +Compiling architecture behavioral of entity xil_defaultlib.jenkins_hash [\jenkins_hash(length=4,initval="...] +Compiling architecture behavioral of entity xil_defaultlib.jenkins_hash [\jenkins_hash(length=4,initval="...] +Compiling architecture behavioral of entity xil_defaultlib.jenkins_hash [\jenkins_hash(length=4,initval="...] +Compiling architecture behavioral of entity xil_defaultlib.block_memory [\block_memory(item_width=145,ite...] +Compiling architecture structural of entity xil_defaultlib.filter +Built simulation snapshot filter_behav diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/elaborate.sh b/synth/filter_vivado.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..9d55818 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/bin/bash -f +# **************************************************************************** +# Vivado (TM) v2023.2 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Mon Dec 04 22:28:34 CET 2023 +# SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot filter_behav xil_defaultlib.filter -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot filter_behav xil_defaultlib.filter -log elaborate.log + diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/filter.tcl b/synth/filter_vivado.sim/sim_1/behav/xsim/filter.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/filter.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/filter_behav.wdb b/synth/filter_vivado.sim/sim_1/behav/xsim/filter_behav.wdb new file mode 100644 index 0000000..0645d09 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/filter_behav.wdb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/filter_vhdl.prj b/synth/filter_vivado.sim/sim_1/behav/xsim/filter_vhdl.prj new file mode 100644 index 0000000..fb55958 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/filter_vhdl.prj @@ -0,0 +1,12 @@ +# compile vhdl design source files +vhdl2008 xil_defaultlib \ +"../../../../../comp/functions.vhd" \ +"../../../../../comp/block_memory.vhd" \ +"../../../../../filter_ent.vhd" \ +"../../../../../comp/jenkins_mix.vhd" \ +"../../../../../comp/jenkins_final.vhd" \ +"../../../../../comp/jenkins_hash.vhd" \ +"../../../../../filter.vhd" \ + +# Do not sort compile order +nosort diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/simulate.log b/synth/filter_vivado.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..3a14ee6 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1 @@ +Time resolution is 1 ps diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/simulate.sh b/synth/filter_vivado.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..8fb2b9a --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/bin/bash -f +# **************************************************************************** +# Vivado (TM) v2023.2 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Mon Dec 04 22:28:44 CET 2023 +# SW Build 4029153 on Fri Oct 13 20:13:54 MDT 2023 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim filter_behav -key {Behavioral:sim_1:Functional:filter} -tclbatch filter.tcl -log simulate.log" +xsim filter_behav -key {Behavioral:sim_1:Functional:filter} -tclbatch filter.tcl -log simulate.log + diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xelab.pb b/synth/filter_vivado.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000..9435c55 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xelab.pb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/Compile_Options.txt b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/Compile_Options.txt new file mode 100644 index 0000000..9391f55 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" --snapshot "filter_behav" "xil_defaultlib.filter" -log "elaborate.log" diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/TempBreakPointFile.txt b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_0.lnx64.o b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000..6902dfc Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_0.lnx64.o differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_1.c b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_1.c new file mode 100644 index 0000000..be8fda9 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_1.c @@ -0,0 +1,239 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_336(char*, char *); +IKI_DLLESPEC extern void execute_337(char*, char *); +IKI_DLLESPEC extern void execute_338(char*, char *); +IKI_DLLESPEC extern void execute_339(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_104(char*, char *); +IKI_DLLESPEC extern void execute_294(char*, char *); +IKI_DLLESPEC extern void execute_295(char*, char *); +IKI_DLLESPEC extern void execute_307(char*, char *); +IKI_DLLESPEC extern void execute_308(char*, char *); +IKI_DLLESPEC extern void execute_320(char*, char *); +IKI_DLLESPEC extern void execute_321(char*, char *); +IKI_DLLESPEC extern void execute_333(char*, char *); +IKI_DLLESPEC extern void execute_334(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void execute_76(char*, char *); +IKI_DLLESPEC extern void execute_77(char*, char *); +IKI_DLLESPEC extern void execute_85(char*, char *); +IKI_DLLESPEC extern void execute_86(char*, char *); +IKI_DLLESPEC extern void execute_54(char*, char *); +IKI_DLLESPEC extern void execute_55(char*, char *); +IKI_DLLESPEC extern void execute_56(char*, char *); +IKI_DLLESPEC extern void execute_57(char*, char *); +IKI_DLLESPEC extern void execute_58(char*, char *); +IKI_DLLESPEC extern void execute_59(char*, char *); +IKI_DLLESPEC extern void execute_81(char*, char *); +IKI_DLLESPEC extern void execute_82(char*, char *); +IKI_DLLESPEC extern void execute_83(char*, char *); +IKI_DLLESPEC extern void execute_62(char*, char *); +IKI_DLLESPEC extern void execute_63(char*, char *); +IKI_DLLESPEC extern void execute_64(char*, char *); +IKI_DLLESPEC extern void execute_65(char*, char *); +IKI_DLLESPEC extern void execute_66(char*, char *); +IKI_DLLESPEC extern void execute_67(char*, char *); +IKI_DLLESPEC extern void execute_68(char*, char *); +IKI_DLLESPEC extern void execute_69(char*, char *); +IKI_DLLESPEC extern void execute_70(char*, char *); +IKI_DLLESPEC extern void execute_71(char*, char *); +IKI_DLLESPEC extern void execute_72(char*, char *); +IKI_DLLESPEC extern void execute_73(char*, char *); +IKI_DLLESPEC extern void execute_74(char*, char *); +IKI_DLLESPEC extern void execute_89(char*, char *); +IKI_DLLESPEC extern void execute_90(char*, char *); +IKI_DLLESPEC extern void execute_91(char*, char *); +IKI_DLLESPEC extern void execute_92(char*, char *); +IKI_DLLESPEC extern void execute_93(char*, char *); +IKI_DLLESPEC extern void execute_94(char*, char *); +IKI_DLLESPEC extern void execute_95(char*, char *); +IKI_DLLESPEC extern void execute_96(char*, char *); +IKI_DLLESPEC extern void execute_97(char*, char *); +IKI_DLLESPEC extern void execute_98(char*, char *); +IKI_DLLESPEC extern void execute_99(char*, char *); +IKI_DLLESPEC extern void execute_100(char*, char *); +IKI_DLLESPEC extern void execute_101(char*, char *); +IKI_DLLESPEC extern void execute_102(char*, char *); +IKI_DLLESPEC extern void execute_107(char*, char *); +IKI_DLLESPEC extern void execute_108(char*, char *); +IKI_DLLESPEC extern void execute_109(char*, char *); +IKI_DLLESPEC extern void execute_110(char*, char *); +IKI_DLLESPEC extern void execute_111(char*, char *); +IKI_DLLESPEC extern void execute_112(char*, char *); +IKI_DLLESPEC extern void execute_136(char*, char *); +IKI_DLLESPEC extern void execute_137(char*, char *); +IKI_DLLESPEC extern void execute_145(char*, char *); +IKI_DLLESPEC extern void execute_146(char*, char *); +IKI_DLLESPEC extern void execute_114(char*, char *); +IKI_DLLESPEC extern void execute_115(char*, char *); +IKI_DLLESPEC extern void execute_116(char*, char *); +IKI_DLLESPEC extern void execute_117(char*, char *); +IKI_DLLESPEC extern void execute_118(char*, char *); +IKI_DLLESPEC extern void execute_119(char*, char *); +IKI_DLLESPEC extern void execute_141(char*, char *); +IKI_DLLESPEC extern void execute_142(char*, char *); +IKI_DLLESPEC extern void execute_143(char*, char *); +IKI_DLLESPEC extern void execute_166(char*, char *); +IKI_DLLESPEC extern void execute_167(char*, char *); +IKI_DLLESPEC extern void execute_168(char*, char *); +IKI_DLLESPEC extern void execute_169(char*, char *); +IKI_DLLESPEC extern void execute_170(char*, char *); +IKI_DLLESPEC extern void execute_171(char*, char *); +IKI_DLLESPEC extern void execute_195(char*, char *); +IKI_DLLESPEC extern void execute_196(char*, char *); +IKI_DLLESPEC extern void execute_204(char*, char *); +IKI_DLLESPEC extern void execute_205(char*, char *); +IKI_DLLESPEC extern void execute_173(char*, char *); +IKI_DLLESPEC extern void execute_174(char*, char *); +IKI_DLLESPEC extern void execute_175(char*, char *); +IKI_DLLESPEC extern void execute_176(char*, char *); +IKI_DLLESPEC extern void execute_177(char*, char *); +IKI_DLLESPEC extern void execute_178(char*, char *); +IKI_DLLESPEC extern void execute_200(char*, char *); +IKI_DLLESPEC extern void execute_201(char*, char *); +IKI_DLLESPEC extern void execute_202(char*, char *); +IKI_DLLESPEC extern void execute_225(char*, char *); +IKI_DLLESPEC extern void execute_226(char*, char *); +IKI_DLLESPEC extern void execute_227(char*, char *); +IKI_DLLESPEC extern void execute_228(char*, char *); +IKI_DLLESPEC extern void execute_229(char*, char *); +IKI_DLLESPEC extern void execute_230(char*, char *); +IKI_DLLESPEC extern void execute_254(char*, char *); +IKI_DLLESPEC extern void execute_255(char*, char *); +IKI_DLLESPEC extern void execute_263(char*, char *); +IKI_DLLESPEC extern void execute_264(char*, char *); +IKI_DLLESPEC extern void execute_232(char*, char *); +IKI_DLLESPEC extern void execute_233(char*, char *); +IKI_DLLESPEC extern void execute_234(char*, char *); +IKI_DLLESPEC extern void execute_235(char*, char *); +IKI_DLLESPEC extern void execute_236(char*, char *); +IKI_DLLESPEC extern void execute_237(char*, char *); +IKI_DLLESPEC extern void execute_259(char*, char *); +IKI_DLLESPEC extern void execute_260(char*, char *); +IKI_DLLESPEC extern void execute_261(char*, char *); +IKI_DLLESPEC extern void execute_285(char*, char *); +IKI_DLLESPEC extern void execute_286(char*, char *); +IKI_DLLESPEC extern void execute_287(char*, char *); +IKI_DLLESPEC extern void execute_288(char*, char *); +IKI_DLLESPEC extern void execute_289(char*, char *); +IKI_DLLESPEC extern void execute_292(char*, char *); +IKI_DLLESPEC extern void execute_293(char*, char *); +IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[133] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_336, (funcp)execute_337, (funcp)execute_338, (funcp)execute_339, (funcp)execute_32, (funcp)execute_35, (funcp)execute_38, (funcp)execute_104, (funcp)execute_294, (funcp)execute_295, (funcp)execute_307, (funcp)execute_308, (funcp)execute_320, (funcp)execute_321, (funcp)execute_333, (funcp)execute_334, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_76, (funcp)execute_77, (funcp)execute_85, (funcp)execute_86, (funcp)execute_54, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_58, (funcp)execute_59, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_136, (funcp)execute_137, (funcp)execute_145, (funcp)execute_146, (funcp)execute_114, (funcp)execute_115, (funcp)execute_116, (funcp)execute_117, (funcp)execute_118, (funcp)execute_119, (funcp)execute_141, (funcp)execute_142, (funcp)execute_143, (funcp)execute_166, (funcp)execute_167, (funcp)execute_168, (funcp)execute_169, (funcp)execute_170, (funcp)execute_171, (funcp)execute_195, (funcp)execute_196, (funcp)execute_204, (funcp)execute_205, (funcp)execute_173, (funcp)execute_174, (funcp)execute_175, (funcp)execute_176, (funcp)execute_177, (funcp)execute_178, (funcp)execute_200, (funcp)execute_201, (funcp)execute_202, (funcp)execute_225, (funcp)execute_226, (funcp)execute_227, (funcp)execute_228, (funcp)execute_229, (funcp)execute_230, (funcp)execute_254, (funcp)execute_255, (funcp)execute_263, (funcp)execute_264, (funcp)execute_232, (funcp)execute_233, (funcp)execute_234, (funcp)execute_235, (funcp)execute_236, (funcp)execute_237, (funcp)execute_259, (funcp)execute_260, (funcp)execute_261, (funcp)execute_285, (funcp)execute_286, (funcp)execute_287, (funcp)execute_288, (funcp)execute_289, (funcp)execute_292, (funcp)execute_293, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback}; +const int NumRelocateId= 133; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/filter_behav/xsim.reloc", (void **)funcTab, 133); + iki_vhdl_file_variable_register(dp + 2478360); + iki_vhdl_file_variable_register(dp + 2478416); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/filter_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/filter_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/filter_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/filter_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/filter_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_1.lnx64.o b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000..662790c Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/obj/xsim_1.lnx64.o differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.dbg b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.dbg new file mode 100644 index 0000000..a1352d4 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.dbg differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.mem b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.mem new file mode 100644 index 0000000..2ed633b Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.mem differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.reloc b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.reloc new file mode 100644 index 0000000..7c5a97d Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.reloc differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.rlx b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.rlx new file mode 100644 index 0000000..a22a2d1 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 5992401998156492424 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip --snapshot filter_behav xil_defaultlib.filter" , + buildDate : "Oct 13 2023" , + buildTime : "20:21:30" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/filter_behav/xsimk\" \"xsim.dir/filter_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/filter_behav/obj/xsim_1.lnx64.o\" -L\"/tools/Xilinx/Vivado/2023.2/lib/lnx64.o\" -lrdi_simulator_kernel -L/tools/Xilinx/Vivado/2023.2/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/tools/Xilinx/Vivado/2023.2/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.rtti b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.rtti new file mode 100644 index 0000000..bf122e5 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.rtti differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.svtype b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.svtype new file mode 100644 index 0000000..6dc1deb Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.svtype differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.type b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.type new file mode 100644 index 0000000..aa83e1e Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.type differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.xdbg b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.xdbg new file mode 100644 index 0000000..8e49011 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsim.xdbg differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimSettings.ini b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimSettings.ini new file mode 100644 index 0000000..e5bf253 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=192 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=107 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84 +OBJECT_NAME_COLUMN_WIDTH=224 +OBJECT_VALUE_COLUMN_WIDTH=352 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/synth/filter_vivado.runs/impl_1/.Vivado_Implementation.queue.rst b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimcrash.log similarity index 100% rename from synth/filter_vivado.runs/impl_1/.Vivado_Implementation.queue.rst rename to synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimcrash.log diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimk b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimk new file mode 100755 index 0000000..14f025c Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimk differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimkernel.log b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimkernel.log new file mode 100644 index 0000000..6190b9b --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/filter_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/filter_behav/xsimk -simmode gui -wdb filter_behav.wdb -simrunnum 0 -socket 34825 +Design successfully loaded +Design Loading Memory Usage: 27016 KB (Peak: 27024 KB) +Design Loading CPU Usage: 90 ms +Simulation completed +Simulation Memory Usage: 116952 KB (Peak: 166284 KB) +Simulation CPU Usage: 100 ms diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/block_memory.vdb b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/block_memory.vdb new file mode 100644 index 0000000..3c5d50c Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/block_memory.vdb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/filter.vdb b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/filter.vdb new file mode 100644 index 0000000..02f6286 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/filter.vdb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/functions_package.vdb b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/functions_package.vdb new file mode 100644 index 0000000..3608ced Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/functions_package.vdb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_final.vdb b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_final.vdb new file mode 100644 index 0000000..9cd4742 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_final.vdb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_hash.vdb b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_hash.vdb new file mode 100644 index 0000000..d47a092 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_hash.vdb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_mix.vdb b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_mix.vdb new file mode 100644 index 0000000..6594686 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/jenkins_mix.vdb differ diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..d435c95 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,11 @@ +0.7 +2020.2 +Oct 13 2023 +20:21:30 +/home/veronikaplevacova/Plocha/PCS2/comp/block_memory.vhd,1701725257,vhdl2008,/home/veronikaplevacova/Plocha/PCS2/filter.vhd,,,block_memory,,,,,,,, +/home/veronikaplevacova/Plocha/PCS2/comp/functions.vhd,1573476978,vhdl2008,/home/veronikaplevacova/Plocha/PCS2/comp/block_memory.vhd;/home/veronikaplevacova/Plocha/PCS2/filter.vhd;/home/veronikaplevacova/Plocha/PCS2/filter_ent.vhd,,,functions_package,,,,,,,, +/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_final.vhd,1701695478,vhdl2008,/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_hash.vhd,,,jenkins_final,,,,,,,, +/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_hash.vhd,1573483944,vhdl2008,/home/veronikaplevacova/Plocha/PCS2/filter.vhd,,,jenkins_hash,,,,,,,, +/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_mix.vhd,1701695429,vhdl2008,/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_hash.vhd,,,jenkins_mix,,,,,,,, +/home/veronikaplevacova/Plocha/PCS2/filter.vhd,1574957022,vhdl2008,,,,,,,,,,,, +/home/veronikaplevacova/Plocha/PCS2/filter_ent.vhd,1574155982,vhdl2008,/home/veronikaplevacova/Plocha/PCS2/filter.vhd,,,filter,,,,,,,, diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.ini b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..e8199b2 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1 @@ +xil_defaultlib=xsim.dir/xil_defaultlib diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xvhdl.log b/synth/filter_vivado.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..9825d44 --- /dev/null +++ b/synth/filter_vivado.sim/sim_1/behav/xsim/xvhdl.log @@ -0,0 +1,12 @@ +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/functions.vhd" into library xil_defaultlib +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/block_memory.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'block_memory' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/filter_ent.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'filter' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_mix.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'jenkins_mix' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_final.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'jenkins_final' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/comp/jenkins_hash.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'jenkins_hash' +INFO: [VRFC 10-163] Analyzing VHDL file "/home/veronikaplevacova/Plocha/PCS2/filter.vhd" into library xil_defaultlib diff --git a/synth/filter_vivado.sim/sim_1/behav/xsim/xvhdl.pb b/synth/filter_vivado.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000..9043c13 Binary files /dev/null and b/synth/filter_vivado.sim/sim_1/behav/xsim/xvhdl.pb differ diff --git a/synth/filter_vivado.xpr b/synth/filter_vivado.xpr index 5786b4a..b35d927 100644 --- a/synth/filter_vivado.xpr +++ b/synth/filter_vivado.xpr @@ -60,7 +60,7 @@