From b644826487f099610b7c38e8ae4f85f561be54b2 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Luk=C3=A1=C5=A1=20Pleva=C4=8D?= Date: Tue, 12 Dec 2023 16:51:35 +0100 Subject: [PATCH] added report --- report.pdf | Bin 0 -> 97126 bytes vivado.log | 2 + vivado_pid14373.str | 1099 ------------------------------------------- 3 files changed, 2 insertions(+), 1099 deletions(-) create mode 100644 report.pdf delete mode 100644 vivado_pid14373.str diff --git a/report.pdf b/report.pdf new file mode 100644 index 0000000000000000000000000000000000000000..ab7476f5ccfe9d42735f661aff3b65ca05146ab0 GIT binary patch literal 97126 zcmd42Rd6KDmZdAk5;Lcmm0~I}GnSZ{nVFfHp~TFr5;K>WnOQ0^PyKzm=bpBnhk3g9 zrde8qdqjGqe|s;>9pNj<6-317nHbpM$a|g&KH=B^i~u`BOE?}L08qxl*2KWk!qdbU z!1T8T;NoCnW(NQz09pVp7ES;YI~PC)0F(!C0f3?aE;bgrWHvH1HQ?jn;N)UsH)dyHVdCK8V&Y&o zGGaDnG&C?U<>WMA<}zmD)A=U`PR@=d1~zc+*+z!O`a5R&hWh%Pup}8+{;OhuL>EUXp%+U00}b!Wa@DclGVUIijyEo9f&bQL{n*iM64xXtZW*>Fl?dE znd$Rd53(g8z=1IdglZE$>z_#f=Y{^iiuwP*`2XV$xPP+Yzl5e>U}oY3VENAk`B%0I z8#o(S+nN2FhB*K26aUB5RR*xJ{67i)Pm2Cq==JsgiM#Gl?|5%-L#ALnC|s|#X*?CU zH1xodlYnN?^G~wi5QyNP=>f!P0eJy}SA!`f$|UC;2YG+2eTLWirvStGjR01IMHJWO z@`>a&u0a7B(Cl;cqW>9qu7CTY!gjXKCbrH_04BzNrN8W74H*d8{iBqBdf5L;iGz#z z9|8T<+}|_*HwGmWCp#BMqrYI7|C#w;75xj$Kd}Cd%72XeUm*XFSpHM-%#4g|{|(8M zhIG>UFiQ7zt-v8`8C-&gQGPN~YB5=AvATvL-?PI`WPB>QbZR|bDxZE091L`1V% zadjlao%Q{_v)>2ypVQ|(yDvtQl5rtgv&P#u8Cn%iBj#Fd1Dc$e(pCArk%uF*a#>p) za*ECk3#Kt~F-xZ7s|VARxVqQ++*TdU@d-erT_gwOjLYZ5GbAEB4q{pMyfb!=N{Drl z4vP1X*)Bl3X;lJ)qRiSDt~?1pjV*_Ox(e3tyVdznlDBaT7O>N!20|JKV3LQ-e4_MA zcL90J01a*HUh`L>Y+QR%Pc0I9!=Sb(mo?QdHsm>UF&sXy7l`)zPzp#M3|;BI;tDADN1V;3pzR(d?_6#{IKqniGg|@W=v8XI( z)f5%c!{)fmCQ%dK;2)wATbE}%t4EPe8 zWURtfxDb1_{(3&e%8;#pAxZMr8TE}Sn)v1kk0BOyF*W4Jkc+D8)ynkSUgc#J?u17` zV%4;P%5_;e)vaGA*+K#U4}J5h2+^R4shb1Sl>H&s&tu@k=^=u2F;Nga5QC0s5ySU< z?Z1nDVvF#^?FNgr19hV-hIM;hs za*md_T^&-l7XA=jT!Y0zB`}$ZWbpxE`HS1wgn8~(!`g&a`j&*m60XJJH!@PrcuC7q%7(9695Tk~Cy zyu|Sl4Ww7EEv{Kh-W>V_?^JJJye<>B;8BcXQmT1qQr{g?InL~L7liApT^L2iHd3ku zx^Wdp2VDM9OqcIPsU}}_kRux(7&?a~)o*l}nXPHmWVhDRivvWNF=f*~(t@*rSLm+j zVoKA29%d6TmQwO>ul9z2T9R8@IIR8o;2t@+?sdN%Y$gcy(^vZ5`$`;rJSmb1pj*Ty z;6Jonj4YYLH;~P40j^S5d&Oe#|6>CQQ9mnD2p)ydMKRa|Cn;F{hj-b_ZfMxhTkTU!V zp9I<=>$g+XV89y=<2nFa2UG<7hJ6(0OQA+84v&zcIbK)krM*uU1W6TvWHeiM6By+<~I4l|y|vvy;$u{!ujevcrO_pBfC5@Wtb!k|b9I zMgc;fJ}O@1@HQ8e;`8#fYvp2@O_!#wi^P7zr%+HPUE$`OPDf~(W%4U%?0JZ;6(Ns-N! z9~8GX&}=zX9T#p>c%c_h6%>l4~N98ASSKr`+pzaZ)Vv-{1UW#igWnnl6HN zqUM?3=OjOlEy#@r>G-;2a7TQ)C5=H`#0S*bqs^3)jlnb7 zc(QK3iK|3bAF}x%-)!pb^v@u#47PF+D%+W}mK-rxYuqMhwzlHUL|ozUu|H$ZJ&)A0 zLK=J3H4R6Vqu*Fui{^i`8lvT_$M_Pm8jMr#2M=N>*sEkUA7fduP(RmB+hpusZoEKPY(S-eBhNi)YgEF<#WJmMbgZ zU?87a<2%xFF;eTQ6-EfDx+-K%+MoYrRb;qYm)x6~CVj{rMbmXfb`` znSY{U(PD)_H?GLpww3P?*tUreRpW5sKKX|i7)Y*|qG44ZZ=61{EM*RN-16tR+9Yv( z#OtFk)d??FY9lbDY(~Cf6)tl=F(h$6hz?5;|KoP!zZaoQOn+;K|BLc~3Bbg{@wdkR zSFykhVB=(G{IAwOMf`u=H?VVXvH!O!BE}i=#}Aujc7xwB{tKWG7NJ*H$xx@DP}qi0 zrlGbdr%<3N=w!vhLQFfl;B=H zP5-73ih?*L`fGMi8b~JrbT5#BVf^Dee!N={Am3FVJ0C%eOK{^iH(f@n?uRa(UfA%(^O8_Go_aK@nk|4;*JSeye{Z7Dlh(|2-b07%E&9NhhukNQ` z@f+F)EeiVMcWi4jXg4S50q>uT;=Q0}aG-=`=C=jj3L7BUreE+duA=?3xB5WF1q|yb zsCNc}Z)KzBp!&{Xey_r7#Q9fLWI)uj*GlnjJ&WJVRo@!bf;-y<^{L3VYG0G{VZsSs zw|rhczb!*TJ%zq}bvgO>Ul8~<8(g1Gn?VG5x(h9&eCq$E_~o~bPfR6%4FMJr84ik! z1hQvzJot1DBCO{&YDf76Wa$VK@N;YXzTEVOp{VDuK zW>N+NeX;Gc=^a=a2(|%zbBuxs>JAYs2*TeJjtq#@h4^HNW59kbjr|H&3FGPoz3mwn z-Jahj_xjicc_Rw22l<*T1{Y_+0;~JVI>7`O#1p&@efgEVUB`bBP57C-=tX>PW0bph zbbLNGenCF_z3X$7RbCT8WVYv0HNiFQn$!1w;#q>dNO!>5tG#_amKXM#(~l0|>wLMy zC=|ghKpWP=i>`8gh^F;ii1h>?!G#Dq?@FB1>cRI8Ac1|PAyqSc$GX1;k2pH8!-AmC z^&>3*))=(@rIZ2U*{=@)b`cdu$m~h^?fI_o&>ZRZWy;V$4hu^Br!a`KQ-D7>e!!De z!8=$W2oX0e_UZjZz*F3TYc33greV)W-0*wXSG5_;Ahcl^$9II*B<~CDyS9fWsobS3 zGb0htM3(`wpr8>y%-f~A>=Rd9@xv%_>2RZ$rT~V-5H^!X(sr(4+ApB2ODk!n^1UVl z7(;c^{RoHV4PWf$DFOX6iQc+!ob-qhRV$ADA&Nf!S>R^yYE56}`7X6sQI@>#*=F^b z^13oN|GlxNuwA2)2-;VJb0Fn3)e=e53Ak0R%@R7>9X&b{FCyh?0Mcz(;l5-;_H14> zuB5hmK&L}s$fxN9*sYneZ`5HZ*eGJA7pv*Q{ffA;@V@mYoR&OWndy6!-VlT2jB%70 z`TY#_bh*p6g@3>pRUBrg7r41?c;To;(I)cGWYzo9SsK4*pzV>fyL+ ztDKu=f)7)sa^*m~QG_D=0ZVy+j(2c@{5<02zjN2ix#2YWE)MZas9nQ5@nrZ3rErd* zy>793U265qQ)MOhgjHWxPt-vKhh5BYlY+3FJruPKQ^o@M<1t-J$6qSo#7ZMoy+>>q z)jYBvLDDSPgt^4c)~;hhgky_Tn$;s^@v-@btFB#~wb}`-2)`IT;`9GTfKp^eyH*K?9)Fv!qXCP%CKW;H)`LPBzsK1$l$110c+Bj9 zhI@M}rus&L3|8sCW+QMbovbQUZTN zYsE1U#+Np^R8HZZKQ>C~DmUQm&myN(K*3MB#!gQ=pq=``90k|>=G?25=;Td0r-I!> zpeGul&}aOS&A;IvaM}S~Dd6AeoA$UAW`MV*&ICI@c=Y?aM$E*`uE(P)*N@8TN+;CC z&1DoZZR(;?7G=s^Qf|GJ2CS$HSL9IT_2au8XF`@%Fq+ZN(8oO@^xg?bCbGExhq?lj zGY-NHwVJKU%5)>|6EacOzPa!ZHx(rwNgTSL6NQ=}! zNBh+1)-)4IXOkn;RrCEDtmenW?GFxPZwDP#QrYm1 z-5IlgYT;uSQmWU|zJEvcQN z=V3uCYL;_X`s?eDPw69ij!+HhJ_0(Fa&#*u(z!>DP64!9jg#{R?%RtE3B#d2kJq|MhX$M0`)Z4Dq*<4*7HCnp z2WS>Ee5hqe&0#Te7b2gCd z&93k`h#TLz=NLE+E@6VSU{r7@VE=d(Uua8vK8fRDzkfqt#J#MqY~G6$wKe7?5PEQC z)YPX}kIguG?Bw?CCGUT-(Eq;S@NEaDL zx>DG6(s}lDc1!UTS+y!yxN+3Z7g7WE<7nh{C9VHs)1y|xD1{o{gEGz1T}*gr$|MoX zkOSM!z+`J>`}o)5mNZdup|9FZrGz%jMD3wj^6$wAEh;7uwEcXw@imQx=8LSU?hj>4 zzm@P!hy9cNRmw-OENHM(CRsJeg*)GtKn_8x=j%B4GK84QcP&~6`Rc_-G@~k#$#uX% z4V+J9W$@|keVR}`_jZ54L+st?cUflU%enY$62Y!yrd+cp!R~!&J?S#1J&jmadl?Yq z={ZBP>arnGPMkA=Hp2ihMh2Bkrq4|Y+NqHvydOh@I`g1MuQRF@Cc(ms`=dl@ro^~$ zFy0c`G}+!6OWM)fc=u}IVrBbzxZDpx2+FZkn7evVLh^(ojcUm0E2J?C^2JZ|Gn6cn zP2d!Ee9{feoXzg)74kny&hUgbsElXi8j6zY#GZ!pUSiKPL|OGu=k$n8qtiSh+x-AN zKzd%!NKUZmRL+9;cdQa%e^ywO>ZE9BI^~jKDwwNqbIWx<&A6?Z^ zKC+MX<|*GIj|qFSQ3htiR)EB#2%35aU$@_StSEL@ZK%iey{@DxB@_A)!su)_5uXzF z{Da`qRDJ4AXJ3V(aHxC_sz*aDVe}V2+a5(zr$zX3w53zfR#j7Fi`xUGMAj*7>6egf z#hihft)u7Y0OIQGrwVKX`#cOmS^tB-zZ$n)?04RG(<_xX-=Ik)aetntw`E%6c4C{E$h~QOH*&&*-iJUh zDl-Dh1DjqAWqZ)B>eMRUzq!CSXl7=INv9ab7Uu?1pCk(p^@}QpIwkzUE*jAD{rgmm-ovb3gIb~YVZgD)>=D%T+!UG zX|%%p?@Be7!aG)%cJ~}CFpO(L5>N4WEV4vt5!EAFoj|b?;~!#%9rJ}~A^MHz_mGQ}d!^z%esvy6$asNlC@JU;L_Ai#Ul(swWMC^7N_|gc zBAS93<*jc0KIgyC+Lg}-0_`!7;F5w=AH0%mG}|p|voi+TOy4HhboO8#g3AkZzg2{# zaQF25@clEj6mJyQmdKgLbtPFX)AjUNuq=8h)yqqx`!fe!u3fU|f`{;))p3EKaJt28 z5i9_YQjSdT(RNklY%;3S`9`%kb7e5kn?h@t$;rp#=x8DhCZ>5qB4~8_$ac+Ow545 zz$wDWNOv>wW(>tup+T^~&vKVw}|5gm)2Ee>HUUm;P9wnvGRcbQG~~xJsW*XMnhK z^p0FhA4|YTC1v)uXR`9!4&k@N%LHZmLhr1i+bNRoM?(;rWbZG01Hf2T&`wG^U|CV-RRgDf>8Aq!{WrMPHziXA)1%ND7IWO+njgZbPgSxr#8vf``(veO89c3sXkJWfagu(gk+|g4>EvA%NtKh zW_8)9H{JjdgKqIK_Bs1*l)@smq3ymbD32{E`S_Yzfmwf@zngvHMt%75v3QOcN0kH^ z>Ud{q4P)gm;ul_Wztot4Wfx@T*OO#OXr*Lj-_rUrWeZH99r(C`1a^%N30Z<3HR7vI z{#bPTF@-*DvtfOS}M|rA-i}@>HfHkEqIVOzoC&KBOOxU z7*@IZR4Z+WFB4}H9bQ3B(q#Oaj?UmYm|raKGGR-l7=@kV(L~z3>ef85Ie^I?togmN zt%h`4fc{I{}Ff){zp@PNHeg;T2TT#h^D?KcG zo|8JA`5a-lkhUh5N!iJX4dNcUzeH6yOCE+=dvU`$+~jVhS{xMrSub$v``%`uJdaEq z%K;Bw-`q_^AG;b)88$NBz;7?-E8`qYdeUTC*LnZm$Z*J9KBq6=Fhh^Xls&d-n~lD7 zdS4SG!Yf^lbaO=R{K!K~+jJOzp0nSQrp7k$b@XE>=dCbJ;&pZrIn-@oK{kDfp;>`-G-&N9> zANS2|t_ga1YZm-gYbtMnsO4WK9{iMihA}mAe7yRU8dEsz@@I`tx3fbw+#N=}j2~84 zF3v``7u$B5UOzS&9Ny7+|8URfEZo*2e2IDMxvn3m*}zn-aXeB^C)wr9&M6~n32r9J z9FKG|(NMqj^Uq~bBpn-@Zk4^>;Z3_+2hXpOhGa;+C)-i) z91FffD~$x>=aa2Y43+b9dE)l8d0S}e`;kTZPVO3+i=;*k`KJdUAC+vgsn#D6{oJba zPy3y=o^uy!bY9J+@+t#-9z%w$D^;opjP@uAG9i7YAwkzLH}Z4uDvHN`Oy_>)Q0CT& zq-;@H-ODdh?O@ThAd=04Jld9J%mf`zzMtrHzjwXFM3$HT0xcYsSQ zw=9L=ydCM5-PjFN)4d$~=({{Oivnr<58XARPF;AyY?2rJm+0v??7+1_@B`2&Hmj)k zK-8xJOEU8IlynNe;SgXcsot5d+ikY_ODw1W0J50T?MlA+yqo!Uj&88{G|%tS$Z~BW zuow{uE2b~*no}2$quB>ss?$or-eJ}^N3v=kLM*at4~j~)WxReQrC*(+JyxUFYkPlQ zGf^mQzV3V*dtH0E_X+)!30nBXz40ltfP?N^R$r2Q*tm5)4g`LzQBI|8BuD7j!x@0H z31Lp*8`3zj2WB{)O$m1r25M(!ZIc)0u5&OYZnHm%X}d--KW$;nJFtIh009Rp5% z&&tZTU0T*3tMX?i4bXoiQD3pmv6#}W@|C!%;tE!)+t9oXG_%p!jB>6FZn-(=st0k_ zyLwNU?82W+JX3cIIz=V*6`0O@;*a2sXAyrRW;rf)E1Wyi*+>(Uyu5)%U1`FW{_Rf^pn27t2D+bQ-^q6KRc&ss&h=|hO4k(^4L56)G z#}+$PMfnT!FFAdl7ClY!<$-7ffFy zTTJz%2yWvIx_FO&%(!E*yi$}9^fq_1Q*Cf8Zd@m$Kh8d@KCQ+)7LOYm^kdwHLkDS> zyH&OBYW>)`yPe@ReNB~(D3CjwnK5yYot!59baV$8bmYI9{CmMoXFem`KSS(}o`#Lu z=IUY$%0mtNV?u~5QG8!_LI%1eJvS#ZE7MrY$ymNC2Jv+P(GN9ijFg);#fv7<_5=zW zhScKuB8I7xPR4xpf zg#i+8-W1gmJD+O@pG1f19~m2t-AaSO!C`eKdj*(Yco3DDyNp48XEebtSaPtILfZTV z^seVmU8ZZ*DuJ*Ju;7(`5NM-Fe!RThy3inJXRK1OmVV}uUX_{e?6yXMSmyLC4)ZkIz8blcF zsMcng1>c|9xoM72h{U|7L?CWB2067deM)i#uV9pVs~)Nh#)(d*^jnBF66V>FgCz~F z2U+KVVG#v7l2@4bJ1m^Ezrmn6502-3?+Kl2;Ry7}4Z)FP7|X20`X3vvWUVU-e7C*d zKQ>{dPQbaS?axGT>EDxS+h;8Fu?>I>NrN}^_plglg533b>F3SVu^z9e!@6-Y@3-IL z;}y$@M;PcN&FGjzdBrS^DpL!_8~J|ho~fq#8CLXV5)Y?sitZ}TaRb-$tp!;?x_hZHJiNbC(UpFBo8oKXjW_+)B4;pm(6=a66HN3Rk**{i>w&qK|wO=oDT^fBW zWm?Cz?kbTTdM3go#2EjdMx4Lin*ZCyFAmOsEPnstjbr}n)nVrR-%g@`U;ScYVrAm` z@5^6JkU#KNFd3qiH==~8u4;9WbBGJ>p=oL1K+fw%!hNv~Qqj5f4H8l0T$BS4B&{5N<&7#MUB85jti zJw55I$_So;xH-De7Z-+?ASf?sK}{^!5I%dx0>3HwF`!`t#ugEKr{H%mk#{lCclW?< z>>XggMrQjoAOPTwjqK26_8`gl0DUZHPYY;qBr_{%+LL*vuNQcIW+NzjczF1R4-~}s zCg|^4SpfhL4CDnk>o4a5oKeL5Q+-o}P|tTYI0{8tss+X1r1{Ow9B||7{@opG>fuQ! z`_@oRP=9@_x<tT)b6iEmg4yX1x-y6A7cUGVQhn_ z`UW=8P-SD(P67rB>>x0PpX(^b$9p&ENRHqd>j7JQT=w_Cc3yTZFY`?84`oTVey$7tiy3lm3 zciaAr#RO$M!^;CW)#R6n!w8|Tuto@B(06TZ?ZAF-kUBJwZ!C>_?>V{?11Rrd32z)9 z3juLyg+Zjfd*!foam|p=r_h@dkOxpu0y#Q;e7axk?;JwMM^NcnS%RQ;eW^>x_kIoh zv-(l|xATYJwFW>!wdL3Lq3l0C-#=zfBz2Dvk-x|JZG5%q$%ztRj4iKK`MJKg%1Vuc zLfsf1AA;B2-8g{}W-#TUR`Z#>?(|*LofAI%=P5<$mfBwQGJGL}?3d=Y}dixsr z*3jB=_dKyH*;w~3Ct+c8R5sf; z>l#}Gw#HXwI=??_J!zBExL~So_Vu416(B3^?QNeUk4+k;E%Lyyi6oLQ#(+G`=?5}0LSJ8htfzUJ%pe0lbL`$RPzQg$5RlxpJ5Y5_&cd&5 zML|%I(m!@*NMwVMJ3qdlZ$UT%{74N1K`2k`2I=2}Cv%}5;yxmn-tcaDOqjvB_?sVy zLiJ7}9O~Y%20n_+wbNu?wx*sr+LsXsfQ+|Y{aiX`Qq=N2rs$b z1bMn#;RGvSuSgRgSZ}2wUlE7k_S|3ad@6^3{bYIVvE7Yn3g=26VRP+8X_o_{ zHAwO7O8%-%sYY!5;2mi7MChR|Yd5xMe=?am>>AQ*72TN#`-pvc!T~y@eD7h`SR$#L zFR)6TSHD9vU|+((KzZvVMpT}Hsy^$3Xy^{#^-a~o(5{3xZKBCtn_J>k0zrZb1$YxS zg7d4$RVg{#tcwq`h7+k&j^FOB#q=w7-8O8@NgBib^4DKF0{k@nB2xVk5@pyJU2|3O z=6W(o;r(eYzlxf`lzA|$JFHXE3al}lLqxk5kCB)h?;v)YKy?n zpbPzLK;~_YXq6)<+#W3Rv?2=PDl{xDUMBe9{^e#DR43ATpxChIRHHz#1U+ig_IP+PTIQc4om@DmqznhGym&(8vQE**b+y}b>`Zji+7xhbacb)Mr%Kwq5hCW?Ou<5wb%MhnK=g`QkB%%GtSyY_H- z-AA%z%oem**VU$Q&t`nMs+H1YUs@3%RL~jLZ?U2n@8Dy@I29-9SpKp$a8?(ZU7x*I z(May@H2>+z_9{=)uJ?D5OT`k84Wuw9C0pSLA-b10ZxnE4sMwv~c7ar*A&21A?}a|% zNHZnrq8@A`l669p>(VI69lNICDdpMf1q^GaW5Vnrn>g)GI$+b$Dkd9nXN8RpEL;a( zmTbh;j6sEYM5uXQa;EQlqjS+xN?fI;h&t#5*5RM0uC;s?hw`-J)e@Da4TlZyKa0%- zuEyt@8@%>!Ue;BzL{+JzP9BVu47YB9Rrp>HN~YNRmty$fasFxLg+tL8auBzRCj<Fhp@#`rzcsMDhmxP7ibkU4mtO6XoGbT-*|82SscaB3w|!A=Uh9uDnq7q46@B( z=bGIR-(%m)FqQIo>yt;-EuR>WA!Dj%DWi*wLBrZGjT@6h_vA0_({SQg%Jdj);<4$m zC$7m7XZNCB%Qw+Mc`Oh~VyeX9*|8_57Yypa@XH?`uQbbi*hcl3OU7mj<%;@3HLK6pZGVi->&7=5PvcFyzOYIYuU>NG?v7<5(UzRvxG>a=r3B`HL|Jjs`Qle}r?%dNM{>T|gyxRkC z^mf~TnVjvm2mTL6Ia zNK={rvdv2PI2AfI$-u$vupIfG%2M@$?GYJUfK++6g%hE?`D1sDh;XVqrS{-jAIY~V z>yMT+YI>a%mH@lReuiE--b6%c0lT`(JfFN?tCh-AiP_Sr-Czs9Z7%&(!QhDB&~G@Q zFU7}qZR(bFa{86v2K_efTiyoos%@T(RxCzFezE*gb}uRw8aBD#Vz-gs*!(#s{QxnP zDHq*PBG?XUGI1~I6vU%p#J4cwO(P@860_sCaG#Vrc+D1%!5D=`tAkLqbgP$Hx$@@C z?hA~$@85vA--;zH^BsJ&b+(M21ucqnR%n6=tr;=w^Ak!F=CiDHIp~C~D>xbRd}wCC4mh6uFlI-y z=R#!JNTwl2COQn`RBhWQLun4$` zCQ|HnmCDmZe=?v$`^`>`M&s7==LS)(W!oFiPJep@$SjT#-03Iw zbz(Vv6s9S!@(X3*g)Kg)?+$*a0cFy~F}Nf-t}YgeF=LeR=ay0*BSk}>@R)y0G%nuipBmA92&+ZbA6=q4edCGAK3T)$+R58n%) zL@#weXbSmMLdyQ!-0(DDIb$47ijae3nzmmVCWk-cNgt5rCBM($c`D))?4!O)GV+r- z;!^aF#idCH8P>t0jbVG67E(a>8BC>;<+d24U@eF+!=~VHt-Inkq<3CT@$AZ3DG?Zr z-|e#GVN0gWP#dDyV~E1ky6L}{e#Dxo*romwZnGb`S%5d%o8kBr*g%4)W3&Uu-PiqV z|82_!0VckHwfx|+0D^T)oqW`6IV zKUbG?R0Lb&2x)bSV4LtVnS`}I?ifSeo>SR6%f1gw#THh6_O5#FgWbmXF_7bh&PR*c zP|lVQ$5?}zSQE3idbq3*W||MpA6ijynLziuU%=j6*iv(K#<^uhD;?K7`3(14xBE$T zezQ*zQ^ifTPGb6D+0&P^aPXKPHYmq9VH#PX_JrLYPivEpBceu-violbw@0 zncxpGv2`n0WU{%4mKye~nb{a4C+uhXD~=iEA$<>5A7@l19j+gbYD!D$BK>JYj#j5V zd^;@5`xN>qV@{#7nCCVhIm0=la-kI6n)7+!D=?=_gl**4Pv5ePCW|}gD{eJ0Yx!os zc4WVdZZc)yzO5l=s}eU93xx(`U+0*bFtUTj$jS;^JescWK~`iIuh>y7h4)>up11D# zu}a-i#!NU)md|no>dC-yBHKw&1HuLxYCLnAh8{`a2Z-eYTOOs;GqJnq!IF^DI}+Wx zPhWYRibNKKOX&p=0_Q=z{z#jV;OE}ZUVsCsaW{DIPdySb=bSV<=}yfnhON_;F4ebZ z`tmnl1kJREY{&Yb;b6Sq+^a@c+LtQsX0aJLJ zxRQ}XOhZ0g)AWPbRvdf~1331)I9Zo;$NX*@c33X=4gh#&zB zDCGz>YYs8H?_bsuW(Bg7U!?(h!D<9PqLXFb3=oMz~Ycj6_ z^s+kKafeL(VHw|r0^-^#qqesSGPz0>IdT{%P7)oA-Xy!#15OT+^6Z!UT5m!GP{DMS zT`MFT`PEY1n;xaoR}#M#f7UQvtTUo9)8)4uI}mFv#Z@#ofzpUXpBH92@fBjzvY@{+ zFwqxuv3A9Gp@lrW8R832BHg-?X{L3&u!QVIM8!9SF?MymVOZL8|Dlinu=U;~;+GI?7ER z;h^Un#YFDiz3i1-&HMM#X=5635iwh8I>@;Exm*$Rb4~6f-9~4Av(?Mmcgu*FIoP0b zeg#=Tsf|14v{&3_JUiBV9LmLm5IaI1R1gcEaktxtXUR8hc`)>AwZ|OPsC-&Be+Xf% z15bV-WxZ)dpogCA^*7n&it z6K;vyfS^fxeP#V;m{`f((Qi6}B)IRCP}fOA>V=nknH`TXvp??U6Bg5neSXa@`TCWz z-_I?Z-IB_YZZ)^7+aG|eHWFX#CgagrP81?W=ySfEgn_ppz%aAI&sBZP* zvXxmM(y;U~vn|}FsGStSPWO7%P>0wV%II0?xU5sa^LDW`g<5eqqpPsf(5b7!1wwX& zD}+t?CIhjfN=(6CdfMwd(;BVIcP58IwzG@vq0bJm3^c{bqd`OeqO#g6=0@|Zid}~r73POG+X5v(R zw$uE+A9bMNnOR_2I}czYWx)@{aS$2I%nkocQNJ&xa%NXlXY=WHavzdY3}AL!C9iy2 zOn}EOb#(W^j(DEC25+5{7^6&0Umbsx9}m68^EA{}pQHnl9eH2c&~NZb!P6r>ph1Kg z8o*j{;YtkR>_Z|v5o1ChYr=E0C;wTXgtnwgTY^#bv%l!Ibhl-=4Z<;BcrhpM);A~G zqmuIip_Mo^6n5Okbi1kInle}SENQ!{pegnra0k(I!k6BtEYuQ0mo21Zgp^yh;@ZEz zb7+5jPEGM0Z8{;HByd<6UaUW5&coaaay*R0ulJFAFPtmx5g?o(eV>9hJXI!d(>?+T zO+oZZf$?-KirLt8UAX6vNW9E38Ym5noik~N93OfPky4V?#!7R?!V%cc0(%n=NE58j zo84Gg&VW6jy<%@!kUVjyppQP;U&sgbb&%D}_$Z&{s}R5&g*WvdgFt&1!>a3m^3pM@y1db7tV?vuO~xaMzKGYW7= zW&(ZU(OuK^@OnphV!HK5ju%3*XA~>gA5k3(8CcW9k&QVT6t_#y&cgU+r;bciOT{-L|lk@R+-L)|{SBb=Ot{n5#mkzle@?{`) zcq_7nV`{XF{9WiPs_hR~Q>QKWybnEd&~2&Ms;fE7Tusyb1u~H8iCwKpLtOUqmgX1P zu%-`jk4`)sdS$bXq|r&UPQ?_HGpQry1)dXyfgqpJ%@}=Pxj9|B^-v6FeZiSzZb~M^ zna^W({vsY!?S$nGNmiVoI6C3ioq?k^V%JTK#&Rl zp4&sG)A-Qe!6Eob!ywCLghMnofY!cCd-AUapV??JjNWTX%=-63@FHW&pt z*MV*O5|T{|seaJGCwE?>`p_CNC+ME${%9*cWu81Icba*oO^I?ZAYx#Ez4C47@85(D zsdYlIm*}p3E>&?2)K7I(h+27?y&57S`!AVg#am2}W)Qaw37{Bjcl{EEyT|u43Ban) z>i4#>AZ^bcgn{>>Ew*LQtXDMWL78Be44Th5X74iL5Bn*Sq%cIHsXJ7z5ySX9K~4@t_K{@vCLEm7&Uj8hg-sze+p+K*x)}pj(K&#F z?eq4W$nYIa5&vDLcG)(9Vn|(4I7t0Plc$8757kF}I+7qM^V6bCesksZ3amOhaq}J# z$j!B<(?8YA$ND2E==Kxs2n??OlK~R+Ce=w z=C9}eIBjBsAM7;&=MR31uLl9%sQO_+%<-5NyuC<+LU<%BxzV|?sIBqit+w7~SKl;2 ze0=*+Un8pK&KYH&r_aH7icluSUM2!a!7w`nW-k*mItf$L%&EB=^jYu z5`klHUQWhWAmWRgLZz0LrG@nl4Is|c@^JBI^aB2LI5E-n zo_zi$)(3$0IZC5^RH;0BnnZcGhNvk{zgH~0GRthR8As3r@U*@#Q=fKA`Zy`)vuin{ zanI!Xiw5m#QeHn?wx7@?a+4$(1hRslXDAlAq zj;$7P0^7R0E{Y*e!ax{*r{m$-#z9-Lb?STV9smfc?|K}Qk#dAv)hmhY;B$+lFX|ZD zo3uB9#*^(3C`V&R2ysbNU5k!oGT9xyAk|0IBfyL5a;{!9;(KsK-V`?D^uKszzgmq+ zprK9J>2gp!SImW|NrrwbqMkyS&pk-h00UKPcBE=hu7bOO)+rPA(2lVvrqkB#pr0TJ zDS4e~M}j*S-zOXCj1vbmM~%0yTN(WdC5Jkv;c>4rWxCF&H=ek(C}A>v;2o$1|>`R6<;UUBmVDfjX$To%5P_eR`U95e~ z#)qvMhwPQaF>n>ov*k4*+RT`0|A|r6@-9Tb48X-J{c6$t6h!RHV-a{ikOzb?b_;K-Exsx-w0Io?`*eo0#Odgi%Vgd0)puL4L2YrWiLL zY?^nH%ESeCBk6P#NkrbK!E^y0gNk1or>$bU#dDk{pz#V^(hNd)g>qi36^`i1_dp~D z#eUX6ch2aZG81IF&yfa=vN|)A-k!4QS4#2O_2it`L}r$2?+`iQ-RUT6lndn!H0Cs! zri*TJ(%kOgsDB2d7Rop$Q=Qo_KY< zooO3746i$Vh5jnzLli2~+h;4fau&FsqzDnWQ4Ek({T7ceOd`l{aHWX~(LG+nDc33d zc8}$Rd3ZMZojA;|I(6@9{<~L$pS=Pie-TcLB6}l9xy|+8>(i_`9|K{@TqgBHfjv(u4k*wd>8{9pc)VfK8GkguU_+5zT!d;!%pvYU zdy?HYcwjPdf8zIYQu#r@pd*uA-a9tPk9A$6^qqzgXi0HiStJ{N-DPNy3xgfWNmTdipv$svKSTCJ?pTa>|9*s`;Owp#M4ybXg@zaC>yXGIy7_> z6Q(INb7Cm_ejC0AOA$fuDZa9vdnf9A&hJWVLja6croBJs7ku2O2W_-vj_AOgBf!tX22$t#_A?-L8(PPly}p`~%ZHE1E?Q3%3QJX7KYRg8!Zn zgBjuSyUsh23&7+%4N20o+s4=_O;O}w{y{`Tw_vf07>N!7Z$sHvd>QodMI;g^Go6%! z^J$HS5F};inTVjHrnD!n8AYfd$p{Ysh&ncfJ~*ZI9G8306&@d;Vhe>0#G15YXJVme z9}&4q8 ztZv@YU{_l^ENtr*+2q|p`Fdz7T>rtoqUC;P$~KCo%-j9cdH#4wlZ2frYS~UH$tjLT zoE+Sd#fP4CeTns}IH{p2ak(fwAoNm*Q!Ugsz4YD@MJNjVO?%Cl#l>F?;Vx7yxsQDs z7(Qv)`7oDGy5Zn7gOhntb{J{mSm#&3W)wPn6`WvFK{9oFK0@#6HbO5Q>SSI@U%Kk`0v(M;a!ii5wogMm@CbO0$^>z> ziA)@>GSbz<7o89TaUE5{X9Xy5SZ3~Glu#a9ii*C42YCjXa3_|GpTRI2PfNh-31ud4Se(`)(WoILres? z#LtE5Xzq8sBCQ28qaQYdp_zHmE(7rT6W{}K*9)-iO{^TK2cp~+3QWV7dC)!jgYWHI zcNt50=poA<{I8GT_z9h;^QN5ax(KRPn}`#r&C4+(Uf|=R%U$nHcAUN1Vh<2*Q&>Dy z75(*kK_tyE%;1RQbaicL;9#D|y#}69w>`2Qj{V5_bKw)Xm{zr7!o#n5&;{IuvCC)k zr-=YWEILK8fTyaeDZ{_J3$$S|o6WyVExqx#6~|BF&B1(|<(e%T4cfFq)yu}~_lr8* zeN*w7AarIwN#I=lYYqw!He#9mgs5gAJGBvQ=PCFbG^m>5CyAR?9>QJ!@>D5_Lv1r0WLYe8Axcw;GeZ8im z_b}^^-kL8(6!c;>cG!F>Frm_Fz|jPr+B-I6b99p?B0FViKXbDv>Akj8tt^N(Kx81RPzneMYc zmRJ%-%kakDJy(SLl2vv$fC8WG_(Yor!FUY%_JnkxT{G3>7HRlUPt z6bNj{$V6E)Pj$?k*<;yMW|tS=11|rXx&nv;C2BT0b$_Bl$YmralBT%v zDtZ2_I^!^XwYP!P3LiIyzPtdnr`s%$R=}hT#QReYcG=(V&9}{3;A!DGn+L4ZE}qzo zz0wy!iC$F-D%qLhj?UX(RtH8@J7)%Wx+Iv)nYnDlX|{lie5m<6i2{g7do` zKFl1;;r3PqM+vmE_V_l@Esm17rm#^n8#KN7ISB=rPYIEP6&(M`RI!Ph&lT+NI_vNWIM3-zy% zW_|J*TbA292(Am4rW9%+>E-AP$+t|__gvP5xNjn~cIOUMsFn}DrJ(hxT91Fzlyff)`1X$N{o5)XTamDsW zkjT0>bhSg3H3S6(Y>bZ69U~;CTueeif;ufk2{wF)CZU!F`$-Zxeou2l)vV4yg?25Y zu2x`@JI_tW&7f}bkzaZqi690eS%mVx2qx7!qm*OYu9KtYeR_9j)H?eH8s$AUW7kmw zTj?BVtBYsdW8{Zl`S5Uj?RI}>Ota=Zb#W?t))3F4EYtLzzq`6981*8Qfu)N8do zvaYBU;Tc-P2r1M0eGV08;$2FD8lInnL?052>WHy=Q3lk1h0}I(8 zFd@aIOb}*i>!Pa?Or;Z<7t6x;kPfN#LjIK@x&j%uQb=rBb{6R+<;yeblR7YV&g0mw ze&t!eo=ZDaNpeWn3WRTW88(xJ9==_YLS6eA2~_Ew4A_QEYRM~LOgWFhP2dA$4`@1i z6_d=McZ`X3TL1Vv0Qk_tBPk;qt~W}=Ti7$jR(BPE70{mFC=wL1v7{n4xzK5}TinE02zVuiw0&Sm4K#o(P%{%Vy9fRc#dxiZE+L=`Yru|OJ8>tgGq5W zdvh8M>M)Fo-4lpey87B+n%sRb*K5L&Y)u5WA*3UD9=^NWS!eql{}B#xqzNY*ZsvYQ z;JK)@gJT7LMjR>kmv$3P{2Hg}>cHthvvxYC33beI=kr?EhgDoK=D{ z@*qg$HG)WqeH20@bG6{r!PqpWWWqdupc`MnA8=XfC3eISc>iGy)z)xgUdq-BXOs_Q zOOXs%JG{Rhh z9B)sIL2b_I9wsqf-XNKUpXm2MS8J*)2LYx2(R{#65kar^)!>ko0#pBsJl-u_rOc~m z@mW)~!`Y7ba10asG;~I5?9GFyKD1%06zYak0OMd&e%wa`rLNcj?4X3dtk6(Cf4-oF zRz;kp!g{8b)Dz8DrwSlFgHWUB{up>GH_q*S^(l*f4ts5?9L!T{j8-2Mz3rb-VDvUk zv|u7h3ZjegSZyvRJhL1Gja~u!I#6;;L0$lOr&M<@Mx~7PA*bU^D$=}nRBWO z-8jF6rM#8NwS)L&8BODq8IpDTeoo(@fJ46~cryMs?n5#{&tNP1ycWh+#;fYAQ`GFK zqvGsp^gze_cVvY}&fG}al$(YR&b$^&h1tz{uWB0Ab1ST=LOoy7a9S~!NL#+o_@jlS z+1cjwk2Nw8v_xX3FI(fzKF~&n&$$u?p67ZeV8KYke(E8O!Um zgQXTOcV@gjGUQ*j)s>J?Iokn+24?#&M?L%8lN`>6>n4%-Sk&`QX|P&R)#=O~C96iL zRmd1;R*`oX7junjv;(bN6NJ$Z35U@D zWppNY3I|OqI_4?qyr}l^&_#L%{-<^+sI3&)CfVUaNw4J+%C+{3v02F)%$2tY+2`TX zR^}^$O2M#-gxormj7m>|0fL1$;!$6zgg%OFlFs^WIEV>9&vjM8u2)AL3=khH;cS@ z+aesdRT9K4);249NOTtWzgD1bkyGjPW(9!995#{kimp<#kPyw{>m4Y)F- zH4>7ShK53f1}EY`EG?@h1;gzDhpYp$2-p&;xy7;Wx%C67z>v@7COhaefizwB+4^lX z=dm#$z^Eba&F?|BfCy~i6Yn8f#Zm7$IQXahW#XU40)0!Y`Xmp4yH&FT(#F;HEB&B; zcOzmriq(gqrY=it1RH{Ztp{84#}fcz)i8liBaed92d@8?X|i_?s!)AGlor6GXw zyV?RFq|OJfbEmnjz2B zcVe98l3xM^c=CIHgRtp`HBSSGs`h8HxDe}LaEl1PFplSg-eRZGL4VzX1n~0$>jI5| z0o^w>9R5S0Hz%RLA{soF@Rn_-aaOe6m(bQ!wOgV9{FZc zm87I}WVB9)bJ2dT6O)2GLA=*I+<~sPy7>M3q;!FHrGWi+{mc|vfqt4{_W1Il5pgK^ z_hzSgUC;S&Tz@V<(|uE=1XK{YA^4OCtIB97%cX8^yQK!x}(_<|$w z8ism!b?X^j-uwCc2_*Z%x98Wq0DW`u?wLxdrdt`^HMh0~?-ob9i6rG>YENYqCcH?lTP~H&ByDyW!~Dj_m5(J) zq^W1oyz{A>IdU~?%XaYdKHXivz+SDOCl@*}K}YK|6VM%5Ya91#0b+_hd&~`Ay!+pwPxL^)J^T%9pji|uoC5QGf<-BxgX-+;;JO+FO(hx z4^6>FLEJyOjFZOn&<~MBw2kMkdtwA14#oH^=iQObXQw%Nw=wZ{sR6!aXJR`I*NSjw zjJy9V+ed%Agf+8SDDuN|Z#k=S{;+nP-FIgM1E^)uOHl%8e>sQ-WK$Cq=H;VLOD_3iLFPz<0v}Y zimLE{$^4l3%*OPW-!~T zs&5NC9f-y~D2W%x(A_C-@=F#nZ@gN8+J1(?$Exsn_bmI9soRVm_*a|$(^g%krJfJg zym;|m{8bcl7GW0cG@qMsU!m0fAdioI!-Z}@#v$Dm{JRIIV(E;q$g>g3=Jv&mexlaP*F=59W^k`iR9My0oj<@M0jwF~2FsOq;N<(|+Up?#mq;1W50NpPf-J>a!p@@-?3>=|mRIaAfvuiaT=fZZSGr4^lD&BD&tWq8&*x1|eg0#-Li-7vZp(G}MEl^3@J8Q=OSRWT z#zR~DCY12|B2ddJ5UN2XXF1D*~<4-5e@5z#NTATD~WamBp4Ut{k>d-oPWZd zg6^LM!|NG1ii0f6Qg$1w>--nI6&D4E*gy!rQDH{Hp_z-Z8*Bd{pP^HLEeNKQWtKSj z#FG@jzty^2_=wCvKjfL(fv4{8?1VO%>v4_Qvp0Y_fpGm5d)diQQrvgy#Zc@`v^^Q; zKz|7y56zF0Q}7K*N924w3o|o&Sij}KsT3#3JCq%p!{p%rJxpG1z=`3$mK=8qR~oAb zH#Eq$WKLgVBajA86hlYH24gvetY@D(@iIIxJ0{_xgSWpsfQjI3CQWuny~Hwqseui$ z2FLF+m-99oxen3It8b9m@k8ocY<)POMW{P4pc!bD+AUSzZ!}YS$ci!N)stKf5o@7w zrt(>u6Tdg&T2`B*6m&nll2iPPlE#p_q~yuRuncX}X|J1+C%+EmDAyI7L<=`{b1ovR z-r9-Cp7)R8P^F2p-s|rcgkYuu5#51%&gG~PTpTH`ow+WfcE6iO^z05Pk(_h2tIWoQYD`0RGy*hdA|@Bt1U{N9jS}CZhZFRxky)halV+UaVXyLXu%)k>o4c4 zl6j7*6=GISK#>i)b|{|3b3U(SufkD+F^6O9Zb}3PWU)Lt2QXPQ1xrTxqT0JC&e}>+ zI8&h^S=!^RZV}ajYPFo_5Jyk>v#uiGK={64L`B*D9)|ui$E=WtjlrI3dPt|w84FMz zqQ1XRSk|b_AJHqL>2O?`f1LUb z)Q%;G+MD0`wj=rCbDu1adSfIYTq@dNg)u~QPLnQr9cD*kH>0y14*I4av8%$ zoG*oeGNAV-VxlprqPd{di-xuq!NpNOZAw9st%cy(V1`2uL&0TzH0@Fc2+;V$g4*8F zs)aBm^uERlTRTU-WI(vLcV63B1xjw}FsC z+XL#qNWr&+5IH``l@&FCEQ-iVvhIa*R91Hnj9B?pz|+a@ zSHjPh*!=l|D1XdCPY#6iIhN_LonKfw{zD?GaeF3@k`Vz>o8E6+CuLv=G2M)oWL!)= zwbP*D)L=94hrKwl&9*j6sG#uel+h;+?8ZjDlSgtdT(o{= z>)Usb@$fa@uzG1~^cV$<@A!sYK~pq4ncY?LypnGxxyxAfrjSc~t2|2L_tZW`O&;<7 zuM>`vwM$vy7TM(hRNM7GpwAiQ)JC7$0yTDB72IBXa!M;%oWYE}p?{3&79mNwFb z=^$Y~8HhbApzm0;4<^#53gF7MIDY20Kxi+d*`Bx3mZvqBRZf#gL~;;Ou$11V3QnBt zkP`2j?Z)^aE~G)+={Mpz=_6{76H!_s!m&r~ia>#YQxCG|mik%~hyuv;jAnUEKHd?e zw3QvK<6%`N3<$?cdmC5s_7S=h41It`QOTHC@NAcfD1md4Aix9rfGDoJKv>xan1Zx9^l}e#jm15qfGxNK(LYp2{ zLtldbwr9D&D3!qTA>KC%Ui(VYmj2(^VJw+Wx8*;*&QqxndZS;qn6>B0Z7JxNnHjng z@=-<`r+fZB6)x<)qhx)qL3)29X_n_j;X!~@x^A-cF9r0iJCdT7d=$t9b;1bU{lZC( z-!W1!MqOtUOPSe@I=~u_%4n^$httFb`rq`hR?D3p53-4&y!~g=RvKXs&Yf$)G(MJh zfc&WbqD$_uLcBbenOewmR3!s~E>H6yxLR~E-NQ|)lcT(^l_@)kiJZ)KwHc~afzV{? zmGXXrv6hB~vz{4_a;8jT&6`9^KruQ)93|(a^vge|;jorOKr*0|xMvQiHBHKO+K|;~ z%`8-l788S_Zp4w!mp;%(a}*!YUqdYoVVX1N1w}7!kJ0g3_og)B!PIqGh8Od7inZJ? zGBvrJZraNYTk~l#G(930fDySo&Y7)Au zBa4N7b^%{CtZ1#DEORMnKb0QAnkuU2cc@!vyhB3zO zfteW2y4EWAtwQ=mJ!(DMgu$n3E5$IaI6Mx?mtQS(SFFwKk&{s~QZW~i6>7L;Sy3a{ zq>-em>K+O;!X75?E-irw^sty$5WxbXWAsHAb~A&l03}Bp2QAy)dcR zxS9DHzlR{Wnq|B1V03N=6O@LWqUg&Fa`(AaIGScm4cB>8Z{7o}F^#^T750*~GYSOu zU*esDtVxuK$ws+)EX)cLl7Gv+G#d;4Q4JJ9SHQd?P<4h+^VjVKX)RLVJ>eY5-^e^o zmq$9h@Umn#h-uN`+Ib=*=EaQoOCJ`Rt|F2)ipay{gJ{@ZGE1|A(}fUF8A$!<;g*oJ z%k=uJ{jgo58F9_zI0O2cdOS{GfcE78=vY^DX9pSh4#D#$fTNR7@Ze^jNCARm*b#s$x)|r=Kq_pQd+{x(B ze0C@G83N`V_ZnOG6suuQkG<$kK&mw_f_|ot-AK?ojUUe^8jP3F*Lmg!wQjL3@EX}&OtMiOL`6l*1i;B zWKKUlY+Ij9NYN#W>F_l}QQ-9tP#`=!a^y|QW9)oWi3K>wa8tWo6G+pNWO-}2iya)a zhbC0N@%bbn9@*xMi!VzaXap2X@hnGT=53~DueK);My^{ZFq4;A^zG)yS>WpE6fu5B z!;K|r1Y6llYAHXZj%0*WJ%UAj<2>?x7|rEgRp4DiV2G#qvXI#MWZQDNuh;~c^gx%S zXovOsq?vM&6GvAc0)nDAh7pIG*cyF3 zU)$S-V$YidMSXgVF=7opQdf@inkVDykE{J}`zRB(OfB~>ww29eGNpZfs9HZ&{v5*! zU)0$(XRcMTJN!Gey2px54|y_BB)n!e7CRO}YtB66O@d8S#+vkGiHc;{KBNC`ezDK{ zxQoyNDPWDGGZnCx(us6cUG!wAoIySaCZUq3hQbORVmAj1P>DHv+y4VkUV1u|@4j zC?R9z>o(P(H)rvHSTq9V;t9Zai7+ErmWM$4gndufVYzOymtTJziXwOBq7tVn^u%4Y z;ueiE<&VU7YrrCwpX6s~=_BaUqOjx3$61xj9@TbpOaxHi2s{+1tqR=QMrG4?lh%`~ zjS*ViLX{o`x6A7oi#{Z`FM5V|LIwvrZLAFI*Tbsvw5f`t34gb+swD{c@tsuvz(ezt zM3CmImJRirMA1<4jV?Q0Inhzq+rPsiZ=8`zAT4b)>ixpelM%l;B?b*uIC}lf4!1xT zj?-D@Mg#`SSj7iT!K^2x3QV!4PK4OkcHvK;DAT5_)8k`K9d<2g>>R# zjlQICq@l4Sq*n>d>ts7&%q^iYU->yfv=Nn!5paZQaRx@2(<*RdqVA^#*XZ&YIaC%f)139 z9u~NtS$#wCb(YvkNfOLxFcM7g&@Iz@fz60y<6ji&<3(>VXvXcr@K)zUo8NX!QLjOX zb{+T3ymFp+3pfm+=@Uk=5em$gCf)Ynn~HCNJXkp0NnZ8!ZwZX#;oQ0}-`58^SyGNc(!Vp=rqbYa+3mU)7RjvsJEQ$l{FH( zA|U3X$=>^~^ak?kDC87aNCwG_dD1ZD#5w1P(90aHtL6i9wRt2`XWT=KzsW-sWzOf_ zkVg5^OsN|bmGj4oxMoBUT@kr#xF>6Q`oUwO-hm%hh({%gL{dI+=&3bA8Vl<`eLzI3 zPm|8jwoRPd8G+ZO6XMFVo&HSA_*R}iJL;tTP@2(fl&BOFFc8ct6JcY1Jp8haO5QP2 z^iJ1d&K0kRPW$Z%G25Av9*|2dt>~Ao%yu(Z1vl zv{u%EGV%A8KEMBM+;{Y5rSK`~JCpw|!(ef))NO2UvP9Du>rjfoZk2$0uxJ`n&92Ec zzX;#ECDl}A}i!z!Y6D~-# z-X2Tw-B-vZr$Vh*PMKbGaQw@{>#k`B&PUw72iKS;P=82w@!URS;pVN>j;dh zdFo5k9qTDnJgPulY&&q=HWXo=);liUx$<`mMX7C3rP0SZpnkr3yHb~1j@{0LOFbNL zOlhnXHswxFW6yaZBXjJv-)p(Zjgw~aqIF-dTXR>ai<~s`f}&LH9iJki+lT9?@1Q&V zQUh!}y9B-W#EFO6qZJokW|3Rk78f~!wQ2x1#uOZK10Hd|;?{k_QU}!F=c%ZIJCfjH z1uNDC^^JRXJu&%v@7D76$RkE^eaa!O65aM{vS(HE42AYoXp*R;`Qnce-LgOfDlv>5 zq_Rj&4PKcychrZ4HRub68ct79Mi)nITGoRHJ5~KZt4Yu(o1kDxD#x~rSZp5KU*CRd z=2((*28t0hBq7O${$~#|Gy*eLafg~|aJ&IU*8`hUk-^UQ;^>Cn$0VPdDe+(V{Eret zr9j?Kp>0OnNp==+X#=E5EYTh@rpld{=}E)B2ddPN!2s2Sp`G5+dzH{npodb?=bMXc zbL}0EJ$VvnX`jJ5R|Z0jb&wj5>~#^1iZ@PbYcI0u+KJttPn>9uJLtVlr| zcJv|pC#9tQvAP?wraHlbXW>42I4X%ZbgR_U;2O5M{L}4W4ElTaK47s9)qk&+#%{{_ zWuwnMK<)18;)6!dkou>1sigx=Fr?J}E-O$$ZTv+sxpOmQU+9<%R8nM$C-$6yl>yx^ju&s9MAEZqT`y#I)=REhgECXtiJqiYt!ja6a0Sue;olcMuH;{p({VLi`$8zKaw zII3;>3ZUp5j`f9);;$UjC2zIPhZ@4|X(Hl$X{v*{Mi}I@K(@|f{L)Z+`cLuYOH_VhAyLdVZKP33XUHmh%zLnSdiyIBE)<`K&l9ols5CI*3z3jr*FnaOcz& zB4@n}Ri~BObP%}Aj(K)l-sobFPGiK*M(eUC02LigZanfnt3k4pBJ3ihL}0uoB2#@H zdV^B&?#f(j0Bw&=uw!E*#c|lxY_AvxY2+6olR-EEMD{zbZ1Zdd23>wxj676OEka) zII-~_aU}2ojs+nDOy5HCh5t1)A5jvyjJ4KN+~_RRRwXG>xN;3R#*-^z_G21Y>}26N zN;k>!h~FPFCe!}w<6K!-}aD|;!Wf8|1ubi zubtUK(4(IspVHL$S{U`d)z}|6NDil+CZj2M&5nBv`RRU-6|TpfJ18hfydLSVLfZpz z=fepZfPHkCgc@`0jv8|Ag;NX~4CV{06f&!bue5@Ef$r8yKlmAT!BCmP^@Wvr(GB@( z)RJlSJO1vGv!?V&B%$$|!nNeQ9!2~FEz!L8c5d0=q!UiMaq*y?`1BrHJ(H5Xy62y;S91t(;?E^Bkjj7UWzJS-kx`BBQX zwD(K`&zX9>at_Hei8NhOPhBUY#|xwDmWAlP*?v|2O<&eG3SpE*KW?$Q%TD(~cq(Z9 zx#RFy#4rk9Sz+!bmEJlKH1?IuJ)6soH6OeU<%jdiIRLg*psHm^_~}iIkaaq0sc$Xp zJnkVM3(Ry!H4L?^le)D*fo|K23=O#^eCFhYC}oK83@1#&ARON_eO&xT)<-(kszS{s zziD#Fqj_}LzuA79lP%*E$MVKsxSwwIo%UNz0IbdRoREUtEuEYjn&c6^qY#ND#mQM} zS?psabsYJ-u3Vb$Um?bw!OWW!Ve_QZWo}-uQS?M7?LKJN9qJpTHz>hCp#`B`IGU$& zA^bea$pl5pxCU)*U>A@%?id z(;X;@&GJqM8zi!yQ@b$ETwEzzoiwu3layV3{LiZkS02QFAti!L1&Fr-ws_?voRnAQ z5FA$XLn5K8*I}dfUNze4epO zvad&2ASvfTW=H?iMiKFRY>*Tjh*mO8t8WNxA+KAGI=y@fAK2=C?C34noKgqgIgdoQ zVeihGH8Vp)JTAElQNnIDvsW%+p-_RN*~96Xs|?}eTXl-ltAm5>;3ml!lW8!HQI`XM zovy{GKT_}FFCRVBdkmpgmqaD~X25>6^5j`bjL+{nP!(JF)^P3i*zWs35n-iZ=Hg=~HB0RQ)e8=hn0R zW&P7+RJ%+XND^`C=);k5XK7C*3n@SLn@gT1INX_Eq8}?{Q=hKv)cXlTzmwQdR ze+8aRpbYAO@|t0RWGLHrC4C3Cgh9OMA9iJal&4YxFFe+ss5SV5mlpc8QAc{$wbiGI zlle{fm#_(S$)(byI&4k+6umK#I_5mGhMoaOpxY7E_Y@dUUmwW6` z?f$_DAFC2ZIAd(&V7(L{<&4_Bllfl8^&!jvd z>fvn+-n)4l!GKK7yVD%%mp%gj0RX&YR1wlreRe+Vi>d+9{c}|GMTjCe1YgpkemG9v z9&r2sV>C-KfJ`wB@<=3@ho(`JSyk|b`$Sk~6aXF##}awH2- ztsEaol%|Xms~@_;21PjUVDsI{({M)HsaYEBRVJj)(LYR1pdo>R;j40l+AdPzAQgZ^ z?`(#7Em|ZE+S6j#(|WQAAQ9q-coPEW;ady2bh&2M%AL(t`zH>}o$?kDTMaGiV0(r= z`X%j*^z`}Amg0a_BvcD~=X@$Hw#rAvVV1L$Q=!Oa`xsFkVtbX%F{IxCg4@hwEJ~4x4>tP)t@PWGb~<(!Zn7hcIx_5{rr%=y59@Av6#RC%JOg zSR!mrSo3j}XST*EoXd*?h{jR0k>QDfqIY%It3uvBiovP%FrrzTM&mgj!gCunY#szt zISZv0_OzTZ(dLbId9z8`1;*P|cY(p;mA4D8eBb(ZrJ2Iz;c^i-zX*=FvLyZw(u?K) zO?q+uXVL2aBE1+{*%+AqtNTAuFGe;FrvF_$HtGdT9#?b4Kk@)^O9%TOEDk=Y5@~Hc zI8+>Kzj!;=LDbiQtF*nVw!CR*fY{K{cYZLrI39pWq_+f4fWXN5#2~!#VEz=h1s0|< zk1b3*@yz=1f|yU416Or*ZNdIHLWFGqXA78;Jp+NEs8Q!_dx5>5CGQD3aajJ9RNg1=|QF@rv~mH-uvDSqd}~i-3B=UUNz)N-_IetBQ%`*`N^N9Da<8oeU+d`X z{Zz<-9Ko`9@ww5T`03R|cL#=c&-#sl7~9$2so|X1#!O*96Oyy%RSosp?1~8 z0g?ZU2Sva^06GH&^32d+{7L5DoK-0MhDmceet9Bz3|=g(vjWp z`&TyN%*~$WM*NVvtE&2Qj!mKILpOo05B`LI(}8P#P6J*5u=k*6^%0N!Onj*tt(H0dwFV9I5$zAIAWo48Fjc*@1Dx=kLg; zpNF=e$>X2hq#v~7AD6iwI}t_OmX@D|#ZQ~tpF;NfU+bP3*P%~SHiO?{D~he4h}g+-_ZK{ z5X|>i&2Bi#-r##r@(KT}9~`rP`uI-&(>B=cP9Z_xKtI-ZZRGI*C`05o>3e1y(3GKH z7)~F}cc?qi6tZ6!Mjy?a-ht^ahRM(0u0a0?YtLE2m(U&Nv7h`GSZKd9o{|N>@Kc_W z3j)vB^5;+vps9<0gMlM{;i+JKRUiLmrhVqW;7k8VWdV#&^gnzbf8sw~eodYH@T&qb z-^PA|P*t+0)+$g1dY1rr>}2 zUbP_QSfU-9#Im_K06~(Y_O^ z-_OgUIGMvE_LJ<)83YDx)%_ldJ%UConlX7IjVdZP)>e~4DuEJq)bn{s?*!7-ImrdF#C)`09Y>h`Jtn$&CALaIGx9EH z;^bIL=uj*Njy~HPyqHs&QoZUMDpIPM1~=mZ&*Kg@p^Q&A34|a1$dvnG-Y-6hC$)-I zmsF&>H##Y*J*%il82!s%;m1^eBV_$xE()MTkeN~I@pWb!m)|C3b>nReGWdg2D57ya zVV3T)ay97gT<3%vCQub=&d$$@dF4b?_0&*3Z#vgx)h+5^#uot#LP{yQG>mdb=@Dnj zm`}g@2!OKCl?PURA3|{=XLZ_y^hAE3RR6N;+V_1J^$sOnsmuqizB4C24+|iD?HQYW zA`82@H;y5Tvy8QfIyPwwP6?&m_pM3A<0j&DS1w0m=6YanABk_c?eDn^rZaCOjC@ON z(HXe24{VJS1rCGM2Asa<=Cxk2Y;HMKKeoyfMZZHu4p9VA^`3*2stYlP$j4tO=Z1|q z)bRc^((aeVTJpdp|BAk0N2QEj%@bvchy*Xjd>x=k_%p4NEWEE&H%-jJRySE;=~^S% zYIu8pM`^_O2+saf5F%SgFr?=ix!`W+`TTJ?PL2jTX+WDMJx_lsR^fX0iz}`u{1mA- zNA+{-L%EKhOiWSOzvnqkEggy>etJpGy6BRZOKn{5M+72#oR4ri03k3UJZ7{&N{G>= ztL!>Pt`zMM*{cHfsl!t5pC;FD ziwXy#*%6_P!=D@J0)N1T9i`!T-A)5&LPK`IbooSK1| z+sUo?76_~4aN?9SA(@BGq~8366e{Ut`kiLmQ0kY>`z=T5E{4@}*k||@M(f7(+c77! z{e#eOm;d3MJ^x4!eCQoSW84+W>64d3+U563s1y2?AoJHy&=q@^C+QF?h*(7`C zzmv49N#pb$6!tq3A_VKj!jy6%$kz9VC*m!&CsXOaepkTqYU#pxLmT4s;?bea`9&0M zNw(y!6hMs}IVTSPOT7B9)g}4JUlXjXS!|vl{OSkl_z235J^~+jyl*>TZX#n6eyGau z%Uh5UkzrmyQi-^mtY2ihCwSB~1*8D!D+W0&JE|nAAb+p$` zh-=k5lr9hv+V$`Eq-Z}!>mdSb8ZR&-C4wm1G2f}9A&n%a+&avG+K5*Q1Z}eFKnO)$ zZTPwgNwO$w9ZgMnSj0_NE=Nd*6Jj3T~VHwcTmyO zOBG2S1_Og!FRMRULElf>}V(iXf(88M*@24?b(ducJWs5Y%0 zb#p={2yA#3QH=SLrnQtANO?$%BI=J~pk{Wmx$g>JE>S$*8p~y*_OX)^=Xq?^yhv3c z)K}~HyHo;0J0(K)yH*_#oRNkm5qJ0KdAKuEQPM2aOni7>zW8_wdidz1f2yb~TNn6X zK15{J5h3j4FW-@&Ad+lD-3bXZ`@UK7_iIcvaO>Chb}T>ictNZ?QBvd4I|yB zc7~y9@)f_jZV`rSjA5j0C8r`e)jX%m_0dg2lgg71X?8@jHcvtKYxP}j+kx1pc&(T$ z+n(!cHk<~sXA2M_8TJJ!YG##rZ4!Ib`s}*qo2;+^v@@?C*%E|ClXNN1AN1^b{yQyy zBt=fIdAdjb&v<@K#aU-qX;|*m;{<=AaNvxcHg$jARo0HKfA4g+K1ogHGJR+&I^$GVdpYAr^EB#ONrmP{F?M zu}a5;;Iq;#kod%T2+ZZjNc6akI?Mrz&AdU+fHF-T9ni#62ZSW0r8>#DB%7yt74>aE zw@!l8&0KSBM&98li7MuZR3e$q(pe8}kCfl1!*-<(4q4T76l27j1)X?VBR`t^=tdz@ z!7?CI%4AKK#eTaSFl_s}&>w|sCt$F`XK|niI;EEbYKt(r%W)dDn_{T3(5edXiP=(c z^%*}8L1!PU5Op=rVl&ygo>o%%YOHH3by3R#N!lYQEo zcV+or4ZVKJ?w6}0&rrAhY6E9&+VqHzU1V^iPdK&0nzN`g$51X<04qMR%~1u{WG^V~h1UbS|_ z?ew4_W-Kk}gR_nmEvx16attPU(GXDXfMd{BlTBjQ5Zr(gNIJx0e#(x%J2~=BX0kYN zg=vX<_Dc{|8U#F`xtsc}cel@LhA!WPx>w1HcrF|_(uZlt5f4ka^kcuJ-|9=z*VboY z5gCCRJFWVLt@*v2`QH%0fbiX}?@q7KZx3u=z(?}cf!aT!l-!M+Dts}|bc1??8q+ui z1G|rqOeskOTFC!RT>JxjZFMu{sK%BnpN>mdW{lzqZfK`DLDlo!MHacNAqHf zfc(2@zQ8+E)cTG8w$*G#+``|;l0WT%$=UjlFLzEPjA4cI%m+;Z9Re5Rv|o*d@M{?kPjZ4k_H<^;~01=JS0oGQE&#Y zAqs5s{;#%KU&eD>tDm2uN{u7jWk9iM;=n#1mifkQ?1$w9Q-OXO)|o0)bn8DTz7 zyfZT~v+F9*MLz?+O9Ww2?hK-PgcL)kV3W|9)!}Z{pdZJT%Hm2IVAJM`EEB1j7bK^B z#(X> zr1z_k(Yq?mrRvK0>LN*664uq1&0dZmnh18XhPr-^s;nq~6b7uQFa~JMOglEzxRi zkh1-j*kdJ;A*p*R-cOWsEd!yS687olw}Wk@v0^`OQ8@f;8O4??%H+)F6R=z+v_G<5 zMivtI#DcM@c{8VPeN%&gGGr9A;Ex#$tSFehe+XrK)Aaxjs@M&pcLadCtwedKVusP8 zf?g6b;>Env14^rKNKRIe(N{KXd|M<`#6twCO#dQ^*P88zRtj$5{Ue&wqU@%@MhJ?8 z?E?Mv^7#VdMOO~7dPVp9v6s6rC@*y49DxAbtI(G)dGH|jk>NbIR~b1P)@wmaT2d-Y zD~1h6e`y_~O!V}E?I&h}k-Kft<+N5`>(%$SPBkd04bpQj%b=oA)&chO8B1%IO5Hvw3=))baLU#*W<4`DUlNS}Cv z_P5Of&@7#^VqWQSK#+GnZ0XU0=Z|Y?$Z((b{_KwiS zq}iZXmyR$*mxG1hc&ZTf?x@fnp8Z!;z2aPFQ*Djq@;!mk?hXmrY?5X{yTfPfWZv*h zW6@(M9G2}kz!vco_e%>~=SbO)mF$zbzEJaNL+yH>fpxEDaLlYoY#q03*tzdL{X&@`Wm>gT6!O<^z~&C zBOBzT@40MF5h*^I;5g^pT}+uh2AcU$#{d2ImjEq9IW`Z5>nr4GgXJdo)YTJyZX<)+ z(h(SiFt4^~I>YrBV-D3P{P{*LZM~oh4c^k!VzNAMzAzejmhSE^1Dm|vROtKl)a<*B z*Qgv^+4RLKB*k2#1DIq&7b@EJE-XdPa$-0$o`y|xmQJ(eh?+DB1|V_~X`aKqBbfv7 z)*nyfaAki2T#H;y1bQra<|t+BX&e!W&3yANp5SDh1RHb=bWXStXY-6F7{Z&p8D;W@ z7}CtKk$GF(d8&@ZZz%!R*XNa=e$8F#4?|n<=d)`{Y{?*a5i|rMt&Q*xV&=azeRPUICL7C1ThnLOl6|4bV>Po^5_=Ugj zrO&DZ-i$qu!*#*=b}$$$`d#m${47~VF1%UB_U<__!;*`K6uJ`8P=>JO7%B#f z$lr|$^{(^<1eVL!WI5&Z0MA5 z&F!Pki%&F$@Lni^@r|*cS7z+wL_6cql-mx8{X)Q_gI}Cp3NAhN08Jdj;g48YZ01sM zYW)V%EHk#Cg*na_BFuIUE0*x+TSxY4h$1vJ>v0CbWvjc;|U z%J|G~%84VOA@!Fl#FR$Nb)D^1@%rB2+fB!>ozhR%6wAgcwDAy0$S1ZIM-;Zd!br$n z6cPVQVkaPxBi@SRL>Z;p*{Ab%@6}N>>w(3o-V&QsnvgnN%n11+OER-Y&tSJYmNG1+Eh!|Hlxod{!=s0(g4MtN}Pw-qRE z&vn)@3zxNDv>k%khWI=`{axOVaxX|P&)G)tsq6;#DZ8|A!G{%deyVnIs)W9zHCOmh zBv+-b+5At#p9H+)+z+@pw)aPK9eV!aV}*6iXSQmOz4K61ClpTd`xzlXZV)`vI7RZc%GEg<$7 z3j2hx)zaGp8Gck{F7#vOp+dRB`Ax9(>VmUKLFNhb)0jQksl4_K{Hl?gw2AN+)Srw} zzX9>#Ynhs<$))$DWY*sK?a)X$rLgG%ujR7pUM;wD@&vYGFdc6?3_#cgdLac;`L5cd zi|^-XRZv@#*@g=cOK47-Q|&;Rd?{X7qWhW3i>E@9T=jgrRbqkBP{;&g ze?)CETBW3Hy5x(*#=R^DJ52pp;FX+@9M#_!&Lgp zo$Hu<|KtT^V;AY9k-`z=Au)b7MXmKADjCVT+!x!)?8Dkh$pG%7PP#M!pZclUa2YgR zEx#roNJrcp%pTlJvd~Ujr#f_48as)m#9`X5d4$iFA>&uN5RqJG6DXbG*BwZlGZB_p zb3dZrTK2udRsCe$a3>>oMH>0S#Qo~MX$aUH7h5gi&WCIdaCQzYq4~tl{DmzT!H&o+O@CS{@NlIHY-A?^$)tAid$ek(ElV;`?8FwiZ#Mgbyer%aV zehvzmAK2>EBi|9$Y~Y;_JRg!^dMaPiH3PPaLfSAg*H14PAQ7jVWIpFEtfl0LO+-*; z?YiJ8(y63$?1EYYv3N~#SAyHhPk+33dy4(wzJJ$=c)-w&rT9YN!nS;V2UdD^26fWo zdSpi4uchLJkbwp9fz{88Y$h8c)rlju*D6s%d;EFrId^RA4#hD>k9404?r=EGm4SK4 zJ?4^VBeM5vG1jCe(!N8m9I$s?H{6gaLLzNkCgJl)SQzd_8yuDCk z7YNGNf$8uSIfi!0Y;%5gA+ct_ShF`2OFnGG>kI@++Azn48y^pOoB@?-I&uX>2Vj^! zU5Q_3!}twWTiH|t)+|Q+%e_t^>Jft=%bGm&sSJto1&Y%+T)T2x+?@rY{T8XiLp_*@ zE}$vKPKoHLPZP8`J6#D=Xteaww_WaJlK0&3-F#}iAzv;DR$udRo`7jV-W#W7Ytc9t zq->760=KK^_JpN;?9K--L2WqOfA9V!18s-`2Xyw%j0wC=(nHp{_+YWqDBA3IBE5)* zEW~D78Dw8fE42+LlyDm8qd*tU-kc^HSGN3KVClse#C#$GMeXi6P<0yXiYUwyZjoAvr_AV0JbtJHAbWb$~4R&rBzM`;;e z-cTSB+V!~l>Wdx(WvW*x=y<$dXkxM_wwtAeHBJ~6B|XT>FQN!BWG_enlXEc?QLm@C zn7o6SO^^!1w$m^cBL`n_bd=kFTyBs9+n<*j&88rox0km`U579=*Ck5EplaLk!Xr^; zHLlJhYwPEnVT#)qr0|1Se)2f=fmvO2dUc|zKI=?|G&1hc^Li=>E>`4J3RqIE(3TrF zHlKUs72B#+j@TLartn zB#D#jfK-&Qq;3`|^(AN9hbMUL8RY%Eh{~snxx6O^>x^h->aH0{_6lafr`uEa3UdhK zk}m#AJlCMFKP8}ltyK!X=M_ZF@Z4W|;wgEM?yU~X<&mdvRFmZ^sd{9wK7ZBsXTvQ> z;)E{xO?q{IER({e>eq9)Xas_DQoJ>Y~jVfSx|uK1}yZuPHNXs~?A0L&9}2deTk zDFFkE*)x`)pSymRvlYFxf7R3#=6@xF*ncNqonplLo?AB2= z%spKL@Z6#h$xJ~Yi)z*|0O~-XDT<&DWm`lf`A-2uBAzjz+CF(()QJCr%>4V_nFJTa z&U)Qg-X#po!l5b3ssr>OSq^EX@zBs&5YHT$BcTL&SRH%cy9}C{~Klk zDp3!15Ai54DFt6TP+c{D3zkOa<(r|3%V zeyc)BLzd_tS~%6){at*+y`^r3XdMfMkfP>R4^_!mZ{mi13KhP8tK@F^KXLxaWG*IP zd!3v?g9V_6Mp+#E>WzvO)-Im_e2d=s)<;s`;PclOZkvX>AnX4!EPINZZNwguhz1|& zNDX_xCZEc92Ww>xUw2OW43Dhz{0Jh=_*FHf*^#B)Q$h}@0G+MgG3D=^>iPL@8aZrV46 z*m0&$A*8pU315zV*p~--jzaoVkkje_bB};d^|Xdvpy;-mT_!qZ@6{J!(;J%rAli?r z0Y^|pfyAvvh8T@qgo3fdD7Fs3u;}35gAX{j*p6e+Beas_W5j^Y2~P)In@ekOCR_%! zgmI_e|KK6aQ{3-RKpSPllTVYI>s9@*Cev|pcCKoeABgCe*BraC_4tN^@$>dOB%18fp6S>t?ySCP{|RSo*7Y(w~ut0I~$IG${TExBJzuFe z(1}j~PXy>XPiN){rA*`uI+rSgV=gCLlCTEB!P**j`y zvjowV_;6#WUr|n+!0UE?PML9B)xaz@(sAK>)hF=R*%I?$^b#WV+i3pMI!Rd`IG53G ztlzb}IT7fG!2jlkw27?>^ac#W@>3N zWe{)}f?v2DsauV1H5DyIDOeL!`K}44qF;3uy6DNI{2Dukm_q+NmE_~lu0>v1=ov|V zH5B>BAP=-`R=zzgY3J)PJG~p=s^5~%q16G9`#S_QVby1YtpeGonnxMQCn_@`-JnYu zjcE$dv>0ydV6014W0PuasvrcRGX#UFUXRKMj=_I z6_0W4sbOq+?$AB$cPXQ!b%7SUp&fx)_Bd+Kc`16*ayH#}n&Bp;TeF=ZmO7zDS8Zo+ zeVKXc4VZNtm`a3nl;;PuGG%ia$-4Dc*Q$j-z3GSK=3oC}L3k>;Q<77z0Ehn{RAxKe z)Rb=V>{#-~0guH`)Q$QfyfX8zossA)*@&vQYk%G3(iz#>$UXR*Y;(V*p4|y_$(Ze0 zBRri@iyTq%hJ&L$Cb=>jX-7AY_c#A3Q=)t&KDF$hVHL@iP!QIeZYrg@(lmqwPIqHd zV~HT#x()x~Js`WVmQIF7FL`jb^EJZEN`{4&E&p}?6Vff2{>aM+4%VSxi{Cen zf}tU91TPDZ@i)l4Kb?UvMPJFN({9z|9z8SE>V3t`c~Xd18-hQmX5>` z7P}LJ0n+DecaEULk)R%b$}k@c;-|)j)z4{|IgF&Jp&(lGe3u){xsE~BN(PE^Kh@M8 z0m@wt6v9qJ2@YIs>23m>d1xP#tHNWI3mRrxA1SKwV^S8h_aD@0%O2`v#goZ7vK->!X80uqVbs&iU?O%$ zq4q?6DYGS7MqMF{5HhO7(;1_cMxH~Z_$^wggfxvfKXJ#}xphQ6EbOE&k152v=)|gB9Ub8dGkc48`)%;qXYa zRV<+2#x|sG(9&n+wHe=by~lszYVF)_G@|!VqxM$PMUtNFDs3}0#YVQ9@3cG0WIU+I zu?{`mhQbBU*ROW&Ci2;&_0oh#4ETk&mGVY;cG+x~=caD&Ye6B?c#%{!m{vp*h9vDs zn;PZ3kzP5%kX_E?UYHpfhNz6a(sz}5P{vE}P@9X8Thg274?(X@eIV8zOlC#VnU><< zd^w!_(BioS2I-0QA5ch+5=Xg7F2&IBUH?S?bb|jmqankg#9b@%*{dJrHAHc zOhGpBd$V_Jzmgdud1*JzzAYLQtky|8zlun7r_$H%@-tg_>e1Z(h{y67Mo3}vMLnX7 z6}-aVC?gi}MWo)24!ROep*9+N-*~`~qPOQV32r+UE_f|Ct^yHlV!lqQ;uv^4w8rS> ziltNals^Y_7xPrO5TN8a#PEm)toKL;)YF&Tmonwzm@pCp^=4sYL~aLjqYR5=0_=Re ztgG7niFz71Coy4MoCKWRU^2X;mN;%x5j5q1Bi_#`#nKU*B|rKRlpk(MK|Xpa>Vwud z#`N5z`m^$}jw=0%nob%n2vi4|?zTq3&|MLzIc5+SH_UApD<+lijs$z){Pek0y- zaMhQ&NO9JsAY5{qyZcSem>sp(vHI_JVFb>-^F_W@uRxq{)%x2pN&pA$7p$Wd4w3OU zj%XU$HaQu*`z!XA8X_P)_&D`GlTXdg`G@bafAyyxmWzfH=a`-K?vje?$JOLRdYt&` zJS7d~@cP3`Z(Bsf#aay?Tss69$Dyj1cPrk-*u?QQ@4U}; zBtTXH6rSq(Xs`M=$tbpJ2}8VNJfb5r5QM83KKggx?PkgcR>Fw|FgbCaa!a1eNyH*9 z7OOj9*$Qwp(zxRNEMaRP@cTcVEJ=(lVmak8i!CW9RNZo)h@a0^skQ>)Io)Wb&kRi< zSJ$aD<&c^ly$BU6Yipzy%HXpkuIsRYubp>qQM0CX8zSsLSQyaXZdWq@c>1R@O!R-A z7AS+)w(*SY{^`JSWlN(fG@Aus)-})g^ft@EAgr-o44_~T{{=FwD)uRz68wG58hAqK zGTFwIFa1JghiS^)CYfdoLZv{N;6gPXh<$w zE%6tQ0N-%~6r14_A?;3paGR7Y4nI(_S#GBfw1iD3sE3G?8=<>RRKdI0%9~7FH2LY6 zEV^ltrGde;O;bzkTyJ}{uEDYU`R={}k0 z@ENtCEBO-Ten7q_sn}NCxw7dlx<0Zoa!7LdOa&kPyvyc$^r~$W>#eJa=XF7NBosQp zIQr-;<+kI5272#?`P`e1Alv0oc8Yhy;A!l)UHG{a8OV}H?0emSq_3?t_R_tbHYfEsXj}4w12&F%{p7~VyF*!f*eEg45#qe6EjtVC zv9!Q=3G=mcpPC@Xk=cYrQX#95DPsR;jRbfw*c&QR#y=iOU(7yxH) z79td0@vow}RK8!u&)^|#ww8~)J`+Uc@FptTsq6DJt8r1vYFfhUBDFqHrC&CW9BFoK z4YmHu#sm|^qum>=nt2b??{fxmW&pNnlGZI5=qo~dS{Gs=KQ)APf?R&rLj8Pl%i4cp za5728oGtq2P_wIC$M|t0M_e=AOP!k%{#KNbOrT7n69}h`tTl#k=Pek3vCf2$*qq*t z8pG%C^>(V(ZFR!KGq=9q5fSE9Q(Q^CJ&6N`MciJ6o5A33kogo;Rk>`j-e*jKS7zH* z2pIZ@`tFRhF1L40Xu}!XQZV%RqH>ldsuet&d~!b(V5NLk9u@K2YctC>uU@+267YD0 zq=f^c;&b8^!YX3T>i4&~GY{~;1?Yp92Q51QTD)Wr;kX&2yacQ_jP?Ufn`(q1vJWq{iiKi}TX+6q!oHf_0k5Up1wg`b78Nuo#^OKb+!n;#G z)BK7x3y1Fk7VopcH#O<3#krFU{m-k8n>9foc2dceB3?;czP&*dkZ40sg)J^IFo)To z2T`jtDE{2rAvC~EdZHOMDdzcA=SP9zGwP)U*lcL6y25+bRP)MpCCT=2An)AsU#rKX zrgZ}ac5r=8WqS7vaZ9P5HHT&I_|;m?P2v)|R}>rU4|o~FdpCN!Zy^{n4kb`f@F>SV zolYFA^}{<>ejssZoS1#NnRjtCOu`UB#xkMCn;ZMtRw;axDe1L|~GZhse9N z3#jnUBY99@ZAMCUC!Y0ic5oB;F(BrI{eE&VGR<({k&)@iwPyuWaT-=RT}G@p*n^~K zIXE#k0#Sg(MZ2QPw=Zx+=KMNp|8%CCTwACH*rH345!NtqAZRPulk+6>x`LP;tG$%D zZ-FdH5hZ)hy9eYo^sg?$LqWVpA2fvYT8}ov1Vpe0Y>QK=!I+!e6d@-Ju&AxiyBAopPozalhXjiFyYnK`>5HHFfU16za`%{2M|?o$?8v=Iy_@{ zyxWx@?bE@=BV|ek!a$K=$aE~4Xo7uEGFB8n70-#^H8l~Vn$Ev`gf1R*F$ABYd3sJYL~ z3!ik0^e~8Dk(r~tY!?yD@1w$!gY}&@(w&@%nSiXCr33nigibzoM!k7!+nR-uCm--5 z(h=^J9=UiTVn`!M9{JFj`Iz&SAjTW(!4 zTTO9@sPeofF=mKm&L(JCNd#K5bYxqT5XUdy`!VzauspcW;d3-He?Y&!DXO&^Db~V5 zLAuMi&QlwvSW=~@Hd|#bAFTWfStol@B2DS@kcwYCRu>(5P=l?T7lA@4s1VHQ09V(K ztFIDdCpi2z1wShqV{x+3})(~&e2jH9diZe^2Y?A&n3PQ_?w6BdL}(6`R>4& z^UFDJjyd{HofzI;N?w}Edc3He0*2fubn;#XOTM=DKTtGU$3FxbkL z3YqDjYU@Mf9#!^ZPBjc;{>SaCB^8oYTOe>mskVku z`j^l;2Wb68ZSy9Q2!0BrWmaWRXPd|{u5J8&rMO5D!mAFSGArAZz8Sy)%Soa3Qz&b! z->G19WT5NYwE~v3-0?|IHCU>HN_`O9&Xr(D?cOd-=|%z zqqAuKwUQSpMyh$5l8?5$!Mano+XWFb9uUTNWjvXGt*GXwkS?z!$Wb<$M{To*OdaT^ z*t3Uf4rzo}US}@()^JmX%gxNHiG|=&J{TORsR9wcS(hBpncqU*%&)8sfhONB_W~0E z$%(@CkK>x0Ma|xdTl*=P`bNjkC6c5(AVPknYCA)L{fjFO^bIb~d&r6c&|ZBilzS8{ z!6;6ON;R(-1(AKNl)#uOy>A9+O{$Oy8*69X4T0g$H7=Y5W_q)<^W~zPTTWx3vvT|6 zNL^yi|8++rcaY^3NT!qwJSk>zK&@NAu8RZpDd(dJsA!VrH& zEQ1oB*QEo4_9OE}_ifUtcPjd=p~=k*3FC*ITPcU?3grHwz24U=R?mesLyK=v!iRgsrSf8*g*Uh@5j`*ZFHCX$><5ZT$UJ%1%WK}9#}~lDXO^O z-{F9E!&;6u{e#hb@-j-evTZhb)na;?O4!CnuO;#i%B+ZwH%G=8(xKQe#)kcq_IP=N^NX6UO28@2e@a?L`mLpre|m_Klc<@ifu{!@%yA@`YO z{*fIlNsK}7-7KzytIL;D6kJuQ%zY%hDssQ{@kzQ}#6#?~84W3w9h$3eyB5=543n&l z#N*_j0&fW(Ux|g$&)4BQnd>juZ{cip8;*Qba|mhEf${-4qR(d^Cr9x=BM_90o8-Q> z_YYn*+PrMpUxJjW+1$Gb2cFpJ(>UMCj4b}<$4 zj1sJ^c(JOVteTeEF$M|0ZU#)eG$TpBQBHps_fJvowG!tp8f^u0$kfp*cM3)Ly2S=u z8sxKk1s=rU%KvnBBHOk=%VotEvjCVnsDb8B>FTCiRrzw9B5xHJm8wC|7UlHcAt^s} z^&y~dG7|oQXQR~tjMX6p{ceNZrOEv_6!RXfvH3;0X)0070%`h$D6F56Xg2em4}s9u z*-t6sFG&L3wKe4-!52z&6r*y_EFcP%Rj*NWPfa@_&QP#%^g9=>Uc3LP%S?0jDl-B# z#Ag{;1hbfw^CjY@^z=2U6i8(ka6WuvXtao4R=<=dfL#Ob3hY4wn~UbP>9u0l0+8!> z_McSQgy92?fX~?IZ4|h3^c`U)tr2XgsL|}VW6OBvUQu7(#YR*-f=F0mq?ty_p{*c@ z#yF(jbB(8HD(F|lP}&X)9YM!3w3Q#$T)M|-79W@GFctc7lw_V+x zZ60QQW3iv)RvnR5s&z=w=rVmqcQ8K0yx|91mZD=Z)rwu1Yx3uevDpV7^YSEFt8+U= zIP^D$xp%}LlEL7Lb2C;P`G#wtX_`oUr*g-#;kL%iW!LzRU-Db1IN?NVksl61OEv`@ z!($?%XOfd-arT2>=C8vD)7?>;BgcCDE62hUiIhn%k$zkK5D*blIKu+Kpaa+EJF`2S za|QX+*kq@{Ypuryt%Guc*QZOdP}ix!au&U7GT=G6mvHYacWySyAdq8ibX;Ri#h3Yo zkpNgpn;!;2i4nRlVRU*%XonP=S3ZJjSx8WQU~9{%p0~N#-5@EjHMQ(4zEK)rI@i1A?tCTftgC?sT?CQoo_ZRk^|Rj z^sjCPzU~3?e$^aDq4i7$elR*)%Zlg{3_`=XLpgMRKp<66*%Sa$0;3B`iXohBqP+v< zvW60IllShd&_`@T(Q!Lk6$Ph#Pt=0{9Fv#n^7k{yy(1eGaTSzkL7Z1>u%0JmDI9ph$BX~ z!iyU}yk6%6ix2@RhkCpu=DX>lqw-t!&*%A^xG!MZa0XP`jNZlQ#7uNgPK@&UKY_D`RqG6iXfi@O$9+%X$eU9zry!0LKBk2vGc`R(h4CvU~Lm^z~~PQ*ybKlQ8BfCrO+5*c)I#@xbD;=YcpQtZ3#~FLf{uu z`Ml<&uz#4oVokAwf)Pp(2BU6ut>HQ4;dUj9)JhF(YTc+(r z&`%VH_%HodT%*4? zCL>wn?q$)v@jX?z{_}e~){N3WFw}nJf_|yme~x&&1+kAQcJ?plsYatG0)tc7xZ?k*%3q>cU6{4 zY9m3U|Bw{XvSdp~rS`KebgYl*{SG|;nU9z26xA3@c-k9t2q8m+)$Bv^dDdMaP{N=( zx=eMSDVsqx&((*FS|8(!$wSnBqkf%@??zgB3C1_vVZH`AG1sZyfJG!YOL#OKLeSLZ z7!U_Atl|_ANwT;fp$8?b(&6`N=<*Mal@F|+c08{YpY9$wt3X;^TXbh4nUelApi`t> z$1F^}{{ztkDEos44Bt1RO&5p1Z*t1}Po2P1?~JzAVOP&f^7Rwd7!E6{FdH}orD?pp ztHUvCXLSL4wW24wr|5OV3DgIwyWJZ*Ye{45AYOsON)MdAv|1r?!9TvQeI&NB)P9Ka zzqle;|F5nHcBcPd1H?qY!p8o;nj%=4*jWBYDUhv`ISY=}vTFhX*FrH&Q7l5S$nrTU z23@geBqKA%Gz>HHLh+j%kqG%hBoZQPk;q-I*fT;evL5?e@0;$P)}GgIPV*e!)pc|J z@KkA>;1S{NEJOl>gop~5L4{obG!iN*Xhc**^21}50ipsAQm|U+AtDn1G6;@AgacT> z2!K&h5do840Myt9ZgflYI53DokiS4cBQi>y2w@|DaSZYpDr7VGL}ynQRo7u&v_U>~ zgbq6<5duIDFOWgBix7ay^Ycv002TlsYy+YIaG;n$p&Y=^8JnC0h5$IEaDgL)U;r%` zw8JMk-~fXK$D_S0MsVM?|(WWv|ym~D#4WHmjQ%;tV!SqD1fLiU>BD9tp$&q zX;y5&;iBK&TRwn4{bP_H`lb*1&-y6WFLES8;6MNe5*YXf!F9p~6Zqd(S!mm6K%Q^# zFn;&nb{AR*_gZ)YhnqqIfVhTUGk$j`AYny_fB-14lW&~(0U#Gf2M{z^hJk{DbAL?* zl*NBPe^X!jVQ6?>H^E4ox0{>`{{PY4xEEmoSHur%YFk3Nb+n(s*#y5I=(->{A2=`a z{D+(ISNNgE^K!R;+>c(d{J{9jhxNT7MhAa@{*#{y z$NXzg^%?&4kN}_kI_Od3L^}YdMMVDj;pcmIdlQd;8k3V4?DB^5#FhaK9T*S*NeMm> z325n$zy8NVdB%+pEWhywYu(@3f1D&BL$H9v**L=kCcyuN`@zsY%T8cW_K>j8n%I!B zfe>Tf*zGfxiM6ly=F1Qcpr-b9^3wBs7`yALRv zA1SkWKdG)-cvh{hOBtY`zA>_N#yd?fKe^8^|pPY%;?;V zJ^`x;Eyv~3o?eoH?}REs%m(-=y{1p^CWc82B+UEVqipn3%wN|){AZ;I&Ai>xj!wy* z*e=L+=c1Fy;;#FXOjAx087_9`yQH#4cuDPVyUP)g(+>S3NcqmkaGT{9MGx~ZLQ=t} zP}~N1&lsg2rnd$NxMCUUc^!_G=+DWNx}12voK*&#{QhH zzO=bic`MwHE7LlWwGL)13)N)f?sN6{G-ZKZZ_M`C$3LOm6VEt=fCF~|kf$=@0g-z) z9sj8Qy-`6osqmmoZ?^Np9S2z4ws#o^Qs z+gd0s;zkEzHkLODV9O~KL58foMK5mgy#g%cwr8EG-jI?*G9ob#;~2UerQwf9nE$uo zzq+u$9=89M2&gsetk`P`_CYXnWJ-cR?}|g+ z6*RHvukusc!})2uHq#x1);QG4pdCN-y0<-KkE^?ibRHZN6kgbCh4W&5Zr^VijFe1 zEhc+tDu*EQt`*A3+k9VtAz zr-s<+%nFz#=3e9cHno7T;rAvJa)pL+jiX;ss7wGv=3+~jOmnZ8+?qc$jhta_TQ~ec_ zRrQsJOQJS@z`CcfaB$*+)x&LN^z`*$xZw>&5Gk_#-ey@PKg6)!xnQ-yua?{P@$T+& zNa8d8U0COi$P|+_I1iJKH!n{ADZHxJ)TWu5eD-o8^j3>Ap7=ghU!HrNsl5BcS){~0 z`tSLs?K9je;~(r5BLFgU!$F6>wAP19niMD%k0bYRqeNSv&eJI9GT?J_sa=ZahP=8} zQYf#gM&z$%STJ`orINS#k=$Kf!kC0+c7^@dY5g6XIgmVjaH`5Oh+Hu5S<%!-f72S< z3H8T&cC%ab@{iw3@R$*SUI&TO`Kgh7y^uj}p4~I8e!LwD?OZV`(Mrs>XcTU2Wb;?3 zkLB)T2j_)BocbO=r-=twu3^`b4kdmSgA?fgqwbxeD-GLq%_J4uw#`a1<5X0!ZKEo- zjf!o|*tTukwrzG+@3F_&tNTA#2Yu2#jBsHVaYtTN%B1uXmpq z4NlZGOIr(1FGIDC?m?<*{CNJ0DCwv2o(#N(Vrq#P_tPA)(rB<^YC-uL?AdPO{OeBD z=m9l7)kBD6aGt!FFwfHO zf$b$Ce=NA5v|@55T`6qkl;+FfZnCxk75^&DrqYYI!v?a+p8OhD!s*n=`#db!6Kq{? z;15foh@Owm^@(<|E=dGl2|OxS&f@!W<@Yw0zCEfQ(B(>2Qe z^0)|2P^52iPVSA3?~Mv(9@p5DlSLVTQO)XXbCh~-1?_wVD%xyRaeS+)WFlbno%P^8r zE?AZq=%PPXLl23}vcX7ceBE}+ibvXr>*=a7!qBI(EF7YA1C6 z>3fH8898@Z7-*C>`0WXn!oWipaI zR#PJ~{qWJH|3fM3hVd5m9EGHHmRfY#`aI=z^ceyXfbWXAJO~=atiZF%?`+($Eh%L) z(YwTH%6~ddG8)~GJqV@32~X>|9(c{ijj52LZah?C}BLSm&+oUs=atT1OR9zQJ>e z0He*x)l)KzoL%@}RkC{z&MpWIwkKBUU+b+@e;_|Ed%;5>`M6NDuIWGmT&z-obg{K7 zxfSi@llPyi5tU2k7c|pTuBukfLnu9m8?)@Az^&`$ViCsM#wjaduCEC?uZG1fhg2X6 zC|RXooY$|1tGD@%G>C3^$Ed6-^nehS-Zv>@&RddLGe6f(rfdh`&*+ zE>(CfGm1Cv)$XEqL?g?%w7AR>NuvNHN1Nto(RuPT)2&pR0RO`o8gJI0F4m>$>8~=3 z&KvJ>OLM?-)Dz&0Ly;H?*pe#eHaHfTK;^(Rt2;!Z34)K-MfG2mlkk(=^G9+vn+pzp z?ji{;o><{mnI$GSBj>f3fm6=kjt zEj-c5NE~JaePS(qiN#X6&fH z&A0dC-nJmO?hPB zN-6b#SzYY5)UrX)TT@8kr{A6bb_Dg_@5O5CUWqF}kUv$@p!ljT z)sFmCDbY3T)BiBAByPNs>$SbZh0p)>$JU9{6iaV(= zfjtScc;@cu_B`yl_oQeMGKA_Lq<=$A@VO9NB3v|nGsrKnD*9)zI{H`lE$|>eWQN*WNnA_>77^Gw>L6OA;^QXq;$sO!(7CzdvTO`qSrAc}7 zR0yzN=dPHEW519PQ=>yf=dniCu#oTw1ifrjt_FTy4w+fsV+1u00NJZF=p7+$5Mzog z4~YDl;<>A;nIxXlG{FmP0Ob~;HW^fLq<2luh?g-mm>w-=#h=Cpi|SjzWuB_(l~&OK zI;ZZCjBw8TB@f;@{3#}EN|n86i{P2e4cc92Pv)!41WVZR=%SOJ#Pm6&hSqiJze%!a zEVYl1_ex~=^?x_{c~3+K(A0j>VDor!^H5m&*yJ?~!woBS^o^zBjPXq}t<=q1aU^=9 zVx?A^;TH}-(^H#5 zO<^`2ndv@K!XsZn5+I(2lL<(Dega_liufKXrl2|oE)%0|KrUz^ReeO9fikWg3&GPt z+k7G&x77BD%LSJW2!o9O5L0baSmiZ%5+5PbpG5rV2-n zecoP*U{?YpJ4S!dnxq~Gikv@-n{LM!tFIuMt#U#_e3{40s+70xsvd5ylf(Glj{Nx!%5evcv)FE!__^e^`C zQ?GQ^fc0~d-$h`NiPZC zKjxR7DT|f%?V1{$?AkN=l{W)?ueRsrGYuasXjNK;Cw2Gaoh%rmrQ;s!y^@B0Dc(q%^IiS$1N{zV zJeQ+-yj-4KjwNNZ)!3t%!F!o+>Jgs2=6}$SCV3HGg^mz@!J`N&SJzL`8p*Sw+bIVg zxzmCR7uDwAuF|U44y{PlXBvxO;dU{4T^;}S1pT6|Gc7pA|Djdnc@@LE?9V)P!xCLk z+Bf&ZC#}v^GajiBSABjrF!C)B4R0W~cZ41HJs>IQV|lfRzZD5d(#^a4DkTbsS9oEV zee^fG4^*I!Ix{Z1!DuaW$8-#7_~W&W^HdZ~_36EN%1?ok%u>ToO-^ef1;t`6y{USB z{&$(R65a2kuM@}{If-z2i+STtED9cQDyEZ@%{7lH@kjFBB_7S-ku%r ze9?RBnrk*w+VV%CQ{o>+)B&`@h z(qR+`|9{ZJrg8AWIM|f2t~K_dOQn_-3BH)Sr?7^Bn)&T02!z=}IsOK4n8-GW3XKyQbH=Kc^4@}+@~1Q!Aqh871E83l)+1??#Y?@>g-jM)X3 zmj)N~H)7_lq)E)f@-0F3&kvM<;e`nV7b(XK3=;DN=@bSh62yl0Uy@&9VOo%Ldf%3AOSEN zS}nUj&f^}Bfu?-hlcXVgB}Ntg`4*wR)z~Za@a^KwK^3Yqj#w3n>-d6vL*sWaVyQ$=+yXCrH zOGbVaxLGK)>Gxcx_Qx#E5#RgK6&kJ9@M0X6BWfnb`q3?((#=`I$A3yZ+4?nWcU*QA zq>oirSFx3+#Z2yA|9-39#d|J6R_!b8LGjSGy2A%}lqB>USn6*@P*n>qmu>b-ha_St z|55hoIy?(viZ4=Y^e9Y>XB$WOs)IHcBNfj6*@8vVY*2|d=t#IYj;r@v)p=ib2N z%sC&|w*lJk5T=8sVB`%V|7Z)-7twmGP_Lx>J~Dqu@|nt{6eb3Htx@ljyo51V>-)TiCa2UIsG@WoR$n8u=z@9aBa`_}`jQ?^VI4Y{ zx)-au+Lo?ls}vIVC2TL>E&Au(uj3})gtMZtWlYqS*BP6n&13Ui0Ub8}u>jABw_}B+)Jd}t zs{n^PY~hhN z`s#;0Z+m@-s*5Ef850{tcHP1k*F+hpBi>FlDbwS2R_@!M=DLF!@tESRs+o7!{B8IX zk?@#mS*|;i&1f2QT{m_Y>1pY&qtZK^|T@!0z`$V|<#!~bU{G0HgG#P1Qa37i{8op!KT?kd2 zzk1ir&st5j#7<}$TPA{wyjZ@#4AYva{zFOO{GXK+V$Pqe|GikK^jPmQqqiT?wTDPv z_!;t$`@xc|z{CP9wJ_>e>BtN5U{KNh>p$Keo++9wJwPIXLsM*z`j_7AmA^|ZolVjC z?H^4iCkhzGsXtoyzN6SRl(eG?FS8ntwkf^Tm)M$Q(=u)nJ;eNAy=eJ!N<~S? zb<|j0K331wJIICoq`2&g+*Ea1d+|_BFb^SATT9#Oz26z0$A?6(>yyFbpuO-$nKHHV zJRzIa_Sk3P2|dVUWwBc7ZT8eOdp`ea&rJYt%uGm+S^m>wZRXWylMn&V=j>*5#WMpw z-kM%qZ6@K+a}~32WATbI#i3LGj#m%7knt;B%6!0DKpsu%K*=+RRukL-N0Z(Ny?LO~ zcSK&v;18UEwVen=cz_!{y=(%VI{rACG$jqLogX1A-~4%N_yRk%cws>9?o{JN!<}ug z34Ub3K1+2zH~MuLEGZmlp z%zH9?Br(J<$9>~As|2LtyK|czJ(Bnfq{@8dJsCfeVDidxyu3@W2No$m^A^k=(PV00 zjep#3FcH|G>CT?jscEk+cK*9;aY7=~Gg_U3=Y-NhXE51NcV3 z)lsot1Mj*Y;FsNEO7;LqtO2UOma=V!Npo7kvgS00>^5Bw0gh0AT~Q{}|848VRIT5P zD4hcS?uzT*?mQ;?TjRYJhYG|i@U%uZ z5&ae2>gw|T{ipYugc=0ag8HOw9ZH0MlXCkv%klUw-|QQcTesPosd!n>to!wEI6SlJ zzi+G_NfdoQ`bOu!xOw9(SU;k{;Fl}8cat<*;&JHme3BYk3v{`EJ;gYxtV7E6u-p(1 zp{gvMc;K^6$1GbP;@jWbf)tx_yGEjmDc1ZCuyFl<221e&sxAKqEb`DHpWny3p&8c* z1^13_?jrb7+K8CWVk=iG2nM1vI#!iDE+u(Jy{)5WHgq%oWD%JB1VO&=FL4SpS(6A0L?Z|T`n0{ zg{fsV>9}`N-fNf2O%rHn(HRbE>KrPIgxfplkxbmnuJVm#>7{M|479|<1C3R59+P9g z7?CcSt6e|rPA?1=&R5O(&Gytd-A>z(s;)_J1zZv@P7gCTwx}K0&hBoSo8)_3>(#4A zFSUh_K9&ykSDtwS)JE5aW>`;#{FM`}Oyq`SEZSu5tn;{z;5eAVM2*u=ySPN@8r%Fz z@e}24FwTroCQQz&r4_aj+rTJ|go8@x`h)slFz}-eOKjCG^lSlXbke2pk|;f-MXze`I|^LJ@!heFx=E-lUR%H46z)8CiYl--*F^5u9AQGhCKFKj;0CdcSK!nNd0_%b;3?j$)z6Ahm!e?glwt7wptx0$5paGsRr)w z6lUROq__`m_dAL~y2nX@+fu^Vq1wh#yaWa36YCwP+V2VnjFupFl6pt+hdI8s&1X37 z@-{%X%&_i@4UurVquS@i$KYiKubX4o=Q#~W7M7bI&tP3;0Yi9Cre3@@}V>{G%I> z+_%0rpnkloK6yaBI%l?!wlT1FoiuUlaC+zfNM1eqwAT!6C5&O8eRMMBxPwU)HP z5j8@ziHoZ)nD~smH}N*Vbss(ql{AH@)cwtS$%xe3*e$u@=N$?$e_#L3zD##<_K})k zsF)hs+w_Orn=$O6ZF3;V{M1ViFxj(V*JZIG`aPCE1#v^WHkuWcKI@!*xFO~+iDjva z9zM*m;PaQ}V)+u%{50D$EPD2aG;M5ofc2N3lwNl(t8z-KKdm;tQqRB)FAAe<15+~~ ztw>#(?dPsgi@z8WUt89$D*}9>r@r#%h9lOc_C$4LUQGh;FZvQhNNoj6WI1YG(x?;g zC_v8gQ%lDAlmB;dc_K%V@F%*Z-)Gx*8)n-dlY0hLT3_@<(+!Ysh66>=E=8{)r+uMP3-IH3qnIK{n5cyy0g8gz)x;Zzq2zg`uA|L}LjAe2jEjn+UF zBc&wx)TTLZ=+TpOAH-*|OFE{Z>Yo^*F|Z{Ho19%PJEjln2)ms0HS?^2yriX+hL>*7 z_W)^XChj;+we1biN>d_uPPpcJ&F|mpsyXaOdny;bj!){5(}WN>k+_bJ3IIByzUR+v zQ&j{2f4YeWM0c7$J@Y|W*BX=oQ?(-x6YnC|XdrBGXph{8 zV-8C#5+_5|M84SHQA}0wugiorV_)z8h(48K_^@UKPR6Ui{{uEG|5I#Oxc(b9Udl2y zdEeOF{jd4UAV**dZCHO%LSLhbQpIDM|D5O_5KylBt*R|}3DvSvsA;2j)Az%b@rmf8 zOyK-R`a^&Rjqdq!X7K3i%9PjD#`?aF6ZfjKdwpZ$gPW5l@|3XJ#W}fkomHn*`pIN$ zlhuP==|$=TJ59rtj7Nb!k=@%LpEo6T{KSOWs;E!b(~E7nyju!;-Sm?_yRJN#Cdy82 zH09l7g2BSSM1id?;8@hF+-Fh8R`-~7{51eN{-0KS(4RMn1<)cLq!*YrBkKIYrMShOFRKM42~6>n`PE>^7w>AN69C*)>ZME2PTmyF)vl zdczx?J*?9+Gn=47n*rLg%0{EEs`F}_t%{~3d$L87mK#g0ucpS`h^9Vi1VIGDr?^=I z8!MrZiP?_&d1!Kd@-VO+RM1F4AsWOs!5!PyUBMkNn$v=D+hqt=a9Bj+{Ihc6u*tAe zfj5IiMw7sbg53yY!2T;F_HF*8gZ`?T8J+$m8I7OPB!&0jJ3639R(ZF2HX=tu^qPJY zri%J<7N4V=Hkc5DO>C~T-Tvp#GkLN~jiO-Fi7fN)&KBXnqJTZXK`kBb+n-7tQcjTH zP`Hl}Tt~Uy^0xS|!eMl!M2eTJ!qHMU)?b&^}p;AZ6PTQeRBG$i#&(m^_cFBmX$&~Wb7X%^OxXEhnXHXu3spo ztzZYQhpSy+9>ZR=(dI*iH?dv~7vr1Y!~5WT zU8L}W%2hRSztg-m6R3rzR|!LVGlpn1*MKCn!Q&5yZk6fu`<}x+Tmz_{fkBkkNN5_n zix9_GAiAME_bz&MdMqt;d+CsIZns9rZPS4nj@1N^?W3aUyu*|ewhAfq!fMi^b&S|3 zxe}nChd#05$ZdpDTXwr^7SODDuDpSJc&KX2*-~>}Zn|33l4?OYt6g_( ztoYK>vKw48D1^+0tnv7kGc0<~-hkd6psOWOxra2X(ER`z>*O|)wthZRs zX&}w8%dlU;i1cD#f{(@X&HI7y=AYr9AV3X3{2&>?SU{q2NzEBL2-`zp_JnTUGMP*P zKs@F8N)7t;%?Nz{?hhs1#~mT;mCLKl!t>&TL#zI3mIVAjtLd6HSXSyZ3a-L>WjQbD z-=u7DH=8|!VB5!fvwnU@J?4Ngay4FV1NRv4tb{r3C%J<2VmXtT_TlviOk+8Nk!L&P zNl+x1RRTYzkPVgV=&!p1)TR8ShYPEVeqX!Bp#tm$zBoRfv}66urvcKv%b0y^AE6u^ zZYM{KExa#H>-m4-vYoJUmCi9Y=LiLa2ZIj^&#jJGp0yEb+)vB%Mw@!_C2s1qjYSmy z2QL0g6=-Al2bPzYm`T~q)`XZz&hU?tqt*Z3At7dE=J?(_5woy#G_n8wwKQ-v5iv2c zG5&s2mY5arUytk^9En+3{<~zbLVe91j}z5vt14wkrhp#)GNn|xlzybvM5;y-YfWMl z37tKzIY*p9f=jm3Th7Hu{F-v)OgT1%Fnb2z)jE@c6JOfODpO!pN}sQ+rm8lXGMY9D zrKh1K8o;7f@Q+3BM6+!)Sn}-O%)eCJKA0t6I(n|-*4Eibsl>U&k~2t1yM<;=ZJF|n zGU@Kx$-OWMMt#21Xl5K1A|(bL35u4{rQ=>hUA0XSZP3ZzT5j*Ci%*rXX@g!E(KpQq>N`yVd@(H=uZ+`Ys4teF=ZkCenx*OyImpT@QV zcyhy{x+ld;C*5*RkG5QIXPpDE=Io4n;WOC+oqtBa&wzK;^iOuS>}{E-WnhjF6FVV$ z$yeZ!Zm^NMqDH5`XYw!1drg0Kb@H!vJ%jvg17-#8rLhAJSpbxlA$y#CT%7=SkN1%MThbSMO(IkN{n?@hW2S z%-_&#YoMnSAXBn(x>vK0WWE%*=o#!kog=G;cILHqmJ0*xIK8{E?RPe||Af6#1o%HY zaDL^x%*nRlq@SJUdh_cd`TVA_;{nJxu1l!EPef&n89*I$6)rAV6pd6Y;c_1oKjbsj zMtIAmTVYm|tDK6$16^D|QK1SG#L7GuyI$IIJ-EZ}+$8jzoe?bAy=KZ?qVeT?r75Rvnc_uA-nTkel5Tn)n*fIrP|6o6OPOg9#E5 zw@qxX=F2-msY_zF1KTT~ot$p9;(>1(ErBnx!~q2|vlmfDX(d{!VX` znTZRduZxjnNKZksUh(8o?Op@7T-z$oK`vuNb+jl5(GF)nN^BlLfi8i>;8Kdu^UNDc zC%(~s#)+wmM0DeQx>>g*xLHWOw%mft#6@!1xP69_jSI>vQ~U*rH#S4PPwKwjhOSgs z9V@((+#uS=k&xYJ;DH)rsEm)Sp{bF|I>wGr&ovbD>MfkEEzDYhO_0ob8My(trJ8|| z8)(_8g(Osj`8BIzw6~r%4976^R5?veKVD$9oV8^d4#E1^{bzH0ezxNow@2diz27oF zMP{3BQF}8+b=jvT2YRf(6Mh}#*9mY8;SbMkkwH#+dHtm zda=h?VKrtKpS?n6H=XZx1UZnrE!rk~u@>-6INXfs&zB)0gvy`?gOM-G5*nvY*F zkitxEZ(YM}ref&4xGK&hyEvzsR>u;;Ogi15L7ss9X;t?E8J2EyMO5gIiHSK3-~tP(TZQ=9aVbX(QPJ7>%O>yVvy z%=yvSw9%?q+>NtIM)P^^g=yTzGd>AlHwn|lSgf}u20pF}{|IOONP!7$3+;i|sFK1+ z2N}9@IGn|gj1A6Uxz_)<>=eJC$$Ai_9J?guw8gFRRj*c6}EJ!y_ zO6II2K_cC0bVk#NCvmZ}h@nOz-RnDxp(K&;hR>CjdFAgl2&8oGe(?$%dEG?XVoX#x zw-(3}%K3Cn|K1Ai)Ff-J&_GV1eGlC}dy7?dt={PkK;;1y?aY3KEQ!Lsz)~J}E>1R! z?&CX7%^INUW^EDg)Y=?dMVg#OnYIE~Wh}&*-{&2ZfACRuKPRZ*s;{m}}|E1NC zSGIZo2*q2}kozeV|JhUR60n5h2C=+B;4@IS21iti6&&SFfKT)aG7qmwjnucCfP6!{Ec}{0NsnY-29z&FcbQk?fwy>QTEy~ z;+kKnT=pq1>{KN7r+hF^Rc2m!V*Y%p<~8?I(MMp;O=|Klap7pgZo6ICWR>Uc^10{6 z`;W=B*_Y%$Y8NG550V7MOQ(_C$~FtJ+^Sv0PsE4HnI#TRp#I)U9n!M}tr_>PKJ|45 z9(-r}Fe|Dbm@~jsGKg=dy%t1zj zo;{ql`pQ76`s2zSwqzmq=$r&RQ%E_`c)!R5=N_ea6z6)>U>7d(t+@1&pjXsj(_Y&$ zBY;?3YDe`2Q}KUe@5*AeKIdy$Yj@Rr6so*;AIa3E8-tK zb8QXn!#HUwY;5XA=WeM6CU{?b(u(-59aW8O#=d)C#ZhH$CxfrXWZ$f0wML=_cg}4m zYUi96P7bPC@iN77TxU;Szt-pLbGB}Ry#=<$MFve|6Uz*hM)}WAH#=Uh`dk-vbr8Q* z+IYk5QFQ_qfB6LTJY5J=5RQ5@CA43lRpXxgqrM|yPSzX>8Ar;bPWOKZ@5puxU}fS0 zjODioqPW_j=y~c?DHc0%Y$k(V*BlJm*xq;caXN{Y>gdvREyio<3>Fd_s*1Eo?<~ze zq1O34v;V`;<9}{C=J@%49Nz!{nA!gK=;Z$t9diLV+5X#Tw^Jj_Je!$jLN1cko6hxUaugFphR4DQi) z?yK1@=)?(_M+*_{nb<%Ab+8rL1l|F=1f8LwV)V4N6W*e2voq+>K?8hu1R0oTQBK`` zNWss+e%c0fXNz}zN%k-v{1a)F@)hLg=Wo|nlhfr441kB?oQ4W^1V0t#%Yn8jCb)JP z6SS(PyRTYwwNv*Ej$)n?Ab_-f6enT^gKg(*?k1LRKJxm9wT>7DvI#`|DL)5(+AO@| z6UY8VZu{d47cmBy{B`B%Bl;x^9`J<%A1t`8z0qSUfV~cCj^yA6=9Iwh7TYOqO^j{* zln(8DfxH)`)LcZ_P6`)d!-you&>bN(IwRDnSJJtHUG8m7qJKS`sAkzp0n;HF&SXI53aQE8S7{> z@Gg1+Gz=W}?&c;m?B9H~ho2x=AofRDP9d-PzN|CICe`GQVin$Eb=^?n!Z z+yZueX>8JsPa<6IJ|TTX(?Rkn%qah4J$x-Y=*qmkweIW&AvE|!9PP_25Chbc$ET(p zhk}2-;%(Jm)^oc4Kr4f6a`_SVrE&R@@u^h%q=&2j_4gOR_bXFs?LxOjp7>2bsA(JR zFks#1=1XAgBRb|wAn|MR)(7MDOEv%eVD~lz_#xN(HH73G)bji>(5zO8b?XgRzkcZg z`q`z7b_^_|yE-&{>f&c7fA+@O-$rEgiAFgrfxin{J?V`>9uhdXE!sGK%5CZ1me=8w zTfQoS819=IdJ^b-yYL5W2@qvHrM>q-bm(P$@hie0+SR>vn1JJD?e@njCvnC;izG0$<2Y35~+jQ}N$J>-c3cR;_OMdG_zVgZL{!sA#@&^-)`69aR zGDWx%BEHni5NO=_B>fEda^1|9*P{kEvmQhs#W}fWsXb6j#E(Rpdi{4#KGrIM3nkZ$ zpSy4t2f~(`cPsOZx+~4t(iLuQAQSp=f5ZDB)BT->emGaC=02h3x3nnnx_34Ks59E< z%X{ImUjUv|Te!g!*?@1lg5!p8<#B+mE@;^Ew)aCjI!JiGcq-mhd}`l1QM;S!*yJgS z{CH|L_NSUW4iZ)0K_BB;E4PpogC|EKz@)nIW7?TNSs}N3JSOOHN5ztzo&xmvgVdS$ zJ%?L-*_Z@_Wf3TkE1M=b78msk@0EkzYSEmc>w$%BQWgIm>TD{iODjpXpaA!P_R=>8 z#?#{9>)_UgH)=F%MOpwpM}+~HxlU^pO> zu9oAw;o57c=V27UQPfcO>zV}gH6i0XHk>`y%&bPW-ulB0##*Z#*x{!)Gb-J7aoe|J zjc3Qi{q&s0wz1IJPiw{04l1$wdN;Bk`PC-*8 zvBtYIvZj-i-#F3c;WCdL_mqhH4MsQ$fjL_015Y>c!8hRMyey~wCIifA;ht{w{7zrCydkWZOi*9YzN(~Tt8Bse=~h5xAph53Eep!?pX4KvS0^V zQf{1x?MO;(w@t(_=L)gysFOzDdN_G+9oW0A*%&c8sMwiBxi(Z``aBKvypL1|r6q z*n<>`6~Hy!Pot<@>n2`7)*@DvWtb29{zt-b!q-YW!uTeSn4cW$i}!`zNVS!-o|*?& zfWEQxFQn~;WWTahB|%?s>M+oiu!fMrzI_KS72j`VTE@)sZ#;uQ1r0 zJZpOKc6hq=DLjjU)0{(R;eIl$<3a_x^3sW`1Hj-RyKb302^63Qg>3`pX10xg+^uH> zALt;P#@pfUM#uVz2+~M#HqbJDUaUO7Sg{+P%Pc-C0P^f+TVa_%xGPt@L>@AHk!_@J z;|k>QLpGYbvmqTnb_@jsmY$n6JFd%A07(M;dT9<}UQNdpqqtzh`7J8$L)3&??a8aW z@U7N_m6^Z|B!9*3G#my(R0@1d#XpRAe`|Gh^U~-Jpdn&JyzHNrpi;Fh(XRD5Looa* z7N$A>=(TWn()z6u)inGJv`+IdUc@rIF`b~kcALRH$eR1GG-1&A8fD;&y6Ed0rcj<} zS0`qhNdZz$D1&;H_gLI!i_#RLhMI0ngG1R2&G$g9(5bj5w2*wYqDc8c?E{f%P6obvk;h4dbkKwRDav6ckNmRi z^%Q+p|K8hXCfu=kUXOux+!X9F`)^ z7elT#9rE7o{cHw72G1PmR*n$$?0x_V!!TWnp4n6s`80L^shT#I?zt*(&&N86-Dw~8 z=wWeMA0fUL3=egsqvfMC)Tsci7N3a9PcJyc-hcN*U%rBHJ6kU?lZL=xVTA5gHp3Ab z-s;l1;(5>anw|ngWaijDre+af9$E|DQ^2Y+{K-(U-PRImjBsd7JKN51eve7qq(3|q zkq~N=wV@G)J~0;m>cUb5+X{bkCKN(U#4H8jO(|L(sPjgnX}-Yw6Im^jZ#w*M>ZH&z zuozuaM++KGK*m%v{f?3?+MQLi?^mNl<;_NRcUU7O_GXH1rKpwr0K;G!73|5@)MzlZEbI{u<@q}WbtO}Mj@fOZ`!JjFdV~#+n!eG%` zK^UU5&iVE_8EASQBm3WG=oU-=$fom`*a@_6n0Hjv9wFCW}>5PTyB^2U! zRg}h7c;lt4QWnYb{Sv>;22!C~J$$#FlVpz94rWJZl4J`*tLqvyy*}pjTHYl6CSf-vXzhz$e*?ME>zwcStvvd8Lg`QT2J`!; zUd>JRtmhz3Pz)b^s15*28HSb_T;8hIWV}nt*M<2(V}w^cWjNJfY-hw$5KaePNB(o+ zFt5NUMZM&>B#Wc?_nflB3J!{Is29fKpXOy%A5wV(=|tt6iBLSeJ=LsppYJ^F!8axFvTHr5bsO;7Wm9-NB0AT9RDSJOc%e2c&bSw+Y9N)V<#a z$lf{)HlcJ!?TlRRN+3nvCYVL%q#L;SpB<(?T7I8lNR&C@n%b4P53!Ma9gph~aKt^^ z?Q)56YGyRKEyOdE_9wqMvt+!IrjPK(uF*A}Ubt6>t`WL3|KV>rCmTVPbk@46H15Fb z3X*y-{#^yVQ&cwm*S{TV#Pjg7Vd1}+sWe1ss=%>HKOvVSasY)s80&I3rL6kae%8lg zYz0rA|Fq#I`m`huV(1I7>x}a%c#Q_nsXOYPJfppz$0ujoy=qaj% zD4Jrvdesd-G*tksV0nnu-q?Pmdh)=K?_WLS@YQ7~saIoS232vIVhCffv{YLxOr1iW zwZiYK4b6UzH$UVxG zS~)P2yAEDn)J~V23%qf7J4Aa3VYtFvb%qU|2T|mR^tj=z^(ab;;~nDJ$EN)SIUe2Z z=XykE?W%X0(XssK#Tsf&tMt(;pWu@(%Gm0%1kFoo<@rD(9_dgMETn6oJSfK@Jk(0$ zyGfcL>B&%&_THmv!8Wwa8rNmr`@G$o#N&Tc5}eKo%(Nm+X+5D@*pPqB?T86mkWamn zdi0&RGzf*jFTY=16aD`ByML53INcJHTWThq3qL-@7=5)+Vcm#Z58sUuo@q{vH}OFd z(bP5`H}?#a3Se*d?K~szHpUhlifhE2uKE=dx9PJav8&OUKmJt!>Nn;(0P|!rL^*oL zWKB=xZ}AtYe;aKyVhIQD6dTOlE|Ah$1zObliUmaZHfJ#J;nC9JrVk>UhS6 z-}tV1m7mQ{EQE2xnOrI50Vy4uFLD??0Q8;5hluP-B5ew?q&*P*u+2)wa1l&;np|zv zp6gF!mYVuG9R)|&Mt)1F(sW%jpgA#rPzv4>L+;~ctssfg-Rdtfr{?m2Q`r>B;KN){mlc-wZWdgz^N;Yd)I#DHelE)iSJg zP&SKFTd8iOpIMn4sx5`RqSKbjr_#5O{y1}bjt)N1MKZc!C^)gwN&_mtu+jCq@``M+ zmH%)~`z<^j(7QS#D0EE7htke_#`9&luD&LxcAn&$w zZuaRSLTAgmF&eh0TO~H%byoW*5x8tNyT3bWy_!-p&7iIh$1Dr@U}OKmyH78FPlcb# zWk~VffH2SA^)kb)(eZKL`b43_KwJ~@h%ywoqkf$dj8lrgQou;$E(8c}Wprb1ldgWI z{p-?`>vB}OKtQGn?vD5SOi5`+2G`=Z@&7!Zgj)`LC2kdgtv;(cI-WI|aD!0XvDkX3>+ z63;~Sg4|`d*GuL14j(CpD4dL`KyNJf%tY1O3pFVtc_HpJa~UNt5z&nHHQ=q^E7ke> zUN>LHi@I-$OSbFO^yoHnvz@0+8vJDr47Y1VRk;l1WOj4~Rx8R*1VTu>HhN=^>Ub9yv5k{!cfDn(8{2DU773MA{@`x!6JCr;g zbqd5$!oB|&W9JYn3=ggAZS&iY2+gFPiKkT3ptVC4?QkV@J)N2w)DtZT8O)MLPx_L;K(72^} zgxX2CuS?=BB$bc7SWH1^%0zy`wMuyo2tZ~5aVUfY>nqV-#Wb_}kfz)@j^@Ru&Y_^) z+;3T;*PFcys&Nc;r_T3%=B@jdl|#u@6>d<7aAWNG{3(2SsJP-xJ+P+$qfRQ|wIonf z9o;h}3~S`H&KymhP$VG+z^gtb_x%F|`K3(hTH{Z5ogEgxn2E{rscIR(Im(_!sSBFH zXz320B%PJni}ijiy5i+p>KWEtTzVH(D#1^Nw{tBjWX&fXo>|q}pr!R3Atq!)r*A79 zuTPs)T!~l?RJvF*EpOXXZP6G$zCyha|%@r4S2^!m-EV}<44o)nnov@%fJHOv0#!3|3AVij(>0?(C8 z@x<`7W*Ty3Leg!X+Ln`w+@T2LVjPPt)PLJA?d7D>_%AKQe4x$%_*6>n#|wft$R9oA z3sKG%Dod%o?Rqp%6T2;{eWc_@Rd&YJnnjVyC8D5QItxiA9$|eA`I83@g@t8qfG84F z-*yWzt3HCcY6?ZCBD*r^)gdDY<@^xCQZ>l&eob(pnYq&8g13?3ZpS^zAQ`+0EIdVV_HHd)T{EYTS03xqmck3=Km>gx zqkwLu!?{^=tetKksuPJj@fSL(<(ty8b`{}^C6Y9z)xAZso*B)(808zz`Gcf0qX+B^ zt_;UT{}GNr_i&wlvrV8a6WlIGe_#b7RTKSLM(gDf*oq0~QhF?un3Q@)P{nhv;v_sRB}M9 zRL-mLC@XM@8^V#WkeEP}{Wn}Y^78?k0ao-8iYJ5Ejvik?!3dMSeIM2K)mNHvZfy0f z-6V`V@PM;%3gkF*n`M4iD5_0guRgiN79u91$v{>4Y+g9m^(@)E1Oou<=!-{q#iG3h7_4aAv7Z&YhhlmQIA` zs4GYl&w7XRIf#9ftgdjWAIHJ^L^E+uFoL7`W@oU8xkg4;JWDWKU-TuI zADNv{(b)JGGRWq;rDVXyozp=hm|=2U1%%+3iJy+ye$2Kw@29m1^_gZPsIFPXdRw*i z@j=6K>?KjoMxE3!8={-rd8_lvv_TI=)rQDKN7g+0swcEi+V+dz6F

?@QpNR<)dE zkys+ILf!oDI>xTE?&VTXgRVyIwo4$*KnY3=X;1_YE~;KdV}ypAB-WwlZ2U%ssJydf zt5C2tl#ZJ{^%bkaIlnK_kf|B-bG-TT z#0`UrQ2o2Je&9u1@i#3BhPHMb_jmj9CPEBRE3)IkrW+rW?r;({$a|eR!>8(hbt0sg zz8Uo-x%{9mUlQmp-N~ovtQS3ua4qT^(yanMk8f_y+1JkJ8mVSd)hR6qhQ{w7j~ujS zEZCN+CUz$%BPgA^#RmA|hV4YUF*;mEz`Ns-J-}!vPvu+{@UT(W=v8fk7NAioL>rO# zP7QH+S@W3KdJzD`tY2ZuZBMwzr;K>pl0;N>uOha3JW9s5^aRJQe8vYVZzGU0It@AJ z7rh{sv9&gnm9bc?mrwOM2Ib11?NxA(donF{mPMkZPFCmE{C8QgQM7BCy*prd_3r3c zX#{MBTiUl7?=hNBCgta6H%ifr9K$~Z#Z_KUGH2vA7mgosKG!*!WYRndJrG}N>FBW~=9-v1@SL!>1)L9Ls5XR25PzU0yW$!-LwxMf3zBnWNGBm5ZWK z$TeyF%NB)_Kw@#N3(<){RB-&zl{~5DILpb!wsI-wN(q;jJr0FD4p~53Qdhty85a!C zCkIC#P|10j39+d*z2hV4-DcD`cH?>DE_JsRidUw+c=Xs@Wx21h@FCO8KCT!=3Si*= zS18CT=7EXMlnn-Zw1N{jwSZ#1;gZWwU6_D=c_5^<#()oj&lTP=^RptM1P$|Ztj7&x z&!)!iqEUDIv}?c3!WwTJKNkR$nd=vD4@3G157z588=;GG12Cnc(bMfT)=cE;iF~18 z-ISno6lA+cG9F=KzF4Cup$9Nmw%1K8z-<)s`PqKgmk#w;9nL8+~IIuFKuJ0PJD{$>Zf2pfht$27Aw(e@E28{@VDMDK0<>{shR~L zl$_dsXln{=XS`WtUyT%%ZwgIEJS!9t!~(jSU;SsHg#2x- z0@>DcIws&QI19mw%8P$xT^*9o%DV(-PrQ2E%D76Lty$xY39uC_D2;${aGQKeKIO;1 zMITvJa;ABzN(4X^1_I<|F=!T`1!K;ZicQ1tW?@Dcx=zcwq zwvokc_aO{igfxLEuTnG@<=_C!8(&_u%z5C!OjBa@_{wkY>*_%Kc>j90cP1Iop&zKD z=s3HzyJisWejR&Z-aFGuiHH{M6_j-PPTK1ftq=j)#FE0O_f-%N4Dj|pUj=5a;&{iz z!MbIaPN+2sjV+ohYIQfrx>5xkUn05vK`7EBys zSs+#O%lB-&8)Zq9aspdDsCF7v9D{za@e->wM8)N{1ANeImY%mY5;oc=!D5PfT`QS( z0ib$gcATC~3Q%U;RdGc&Xt7W}8BKJzkddXSR3fA=h(y9Rv$ItSwwRG~3-EP*Kprrm z8QcN}Y(rZ88XmW8tS#AP|09<{-2h~Ufx`v z2NIlHEcH-=l=;rl^b@?3@2ouZ1)ZR}Wm{KkIcDODbHFj{C?rRo`HTnI9NhDK_3tAi zw9c~vjb#IQTYEHv5r5NC?$C0CWX1?zzd@W_7gg4?X-C)ppXy4qM8MaMmW`LDss_;e zk`PKwBxmpK7t9n>@TH^+ZKjfars13P14K^nkZbfI$$+MfA#%Z1e&7(84%6?7&H@^% zUsixXF2JoG!6jbcROD>T82@pl#45SJsQXYd z+(au&M=cYn z56tmU%>2z3;?uoQf^ZdAmZ&QT@h?3!kCKs6W$m*URv<(T_-*Q5e!R6CZ293s5=Z1f z#p8m&Q*7dd_if6!fbFH7*sKsAfg-`_Bcsg&$<9^ zOx3IED|Ge-r`SC4x_Xzi{sc15vk5far=!5ox{kk@LV1oe%%EH(D%w$dVhq!MAM`i; zkM(h2wF&&CVyZ&vzMk!cA^^eTHBcf*WoL;Yl0L?LN1j@;QedVq%|fJ9a1Q6OY4mTF z?t|A3nTEQQ=0=9I-PNKsktqX~NI9zxnnYU&D`C}zXD9#=J72e=woo-CkM+?G@2CQj z>C~^;!KcI(*;v+*>&1AVGQ{2d+8XvD=Z;(WxM}2SPAW;#tg3n&amul>3N+XLM(Rt_ z?D{}OY^MJg@T!2czACpDO2PS`Wv1uAZm;)> zScLD3^NheLIe6FqK*D?h#2$xY_=L0DhF;O~9Q&mwju=qi%gz;jERrKLvCdb^iI zcX|slB!ljTwA_DuOm)WgbHi5$Tuha>R)h#qJuLTX+Q|k7BRRIwRSCz03YPU{ zWD2+`J$p~%#+?0)Pi4Nn)w&8IA3?~=wtqKT61LYR7=d)Iia)xBf^}THGqyAgn5?H1 zZTfEqE8ujbb_N`>KN1`xBX!KG2@;iVnSbEMq=Z8MivsTd%x`C8W@P#Qnm0y#1`c+X z|CQj*$iT?*KW$ynZJ_cwTa7f)T}a!gx3`$vJCL-{(6_g;_HJ&_AV7eE|Fv!Vw~wp5 zU)xDOw|{CqYRUs_n__df9MF=ACM=?eOkPO&#XULDL{v!7c>K}=DJh`+gM+ifgM*>` z5*4;5hQQx#=mCmI=f~D2c4Kewzzk&h4bbAGw%0(7O7(5PKlO7+TPXM-qh3p ztf;8Fe+K+~)7{4cQ z2o0c|8X1^dfXLB0)B&ye(WRrT04!cgRQXY7ej)jY_0A3s`$nd&Zf<6btuCgGZjA_q zCIIbP9qK^J0kiyMZ21`bbb|mQMq2xO)9DE0fS0MNvwl-6*E2fXF**JK@%^Kd$mVA- zkM=E0z?^{m6M&YCj{qd#`8#`~kA7J60KYp}08~gPdu78fKtSl{UF7&NW z&5ca}=~^2AfRc%i(zLj=FaSneO?@yiHrY1a$Qgf7z?Y4|IT`6aH8k_HBNzvDpTjlT{-yb?UW|V}jd?fMJ2%~b zu+ufy)6;*12B(+()dprK=TOOre{GQB!S`aOfX;ySjrH~RPmRF-u>kpD6(mo32P-@{ z{(p*%za(G`_b;yXZUE^);s8E1(*gN>5WBOZw*3B4NmTP|UwM&#q7c>908CU$X#SA% zgOhLva?bJS=D%!UIK4?J;QVQz%wE+1seQh`PbKh}bWC1cSaW=*f1G-zE;K3{kS3pf z7=L19l$LjZ_om1Cfb@+HjR5L@n2fgbVRwFE3aqW4ZWw>}DGf|*;P<~eH03gXN)20m z;Qpt3Qv%TMcj}R^`4yl*=D#o<}Ww3?*!A&CL<;VM}A{>$>q^REQBCiTK>e&qlLbHcB} zKY!-qF8QBp>8q(<4LTMXTL1dQ#5xk7^Em!68mV+Uk*7MnZL#{-NIftbU*bcxC3zd$S*!FK+`+kFtmQ^JN%(3AidPDKsA7A1z$L9 zKlKlI18};@FFqVV(={H*B<&w~KqJ++K>l^49|C#Tq8|c0dDr8A17)A!0gn|w0{PyT zehA@RqyKf)e*Cv)yt)=ZFEI8Jpzz7NX41dwI!8~z*m$KlNQ54!V*s9(?1ORdcBJxH4R7f`@N^A|V} z^LNgY|D)y)P(bGQ8yyMKbZq-lf@2j4jUxmw`miCKp<`kg8wcf$ySIisXfzQSO_#)?bbK$>J zlKrMT{f7A4_WL0KeQ*Wg`t!l%SNmB4>=aGYrposFVS|PTMs@x0Ve`{C{D6bFEZyLN zLo@z~IkU=h&-wAoyLJE819x}==KRL~=%vL~R3Vk~(EfSi^0O`d?fdb(^5=ukBO6%k z?k4jMcM=r;98^IBa2@To@57wrs&dj4y(KQmt&t(GBX4$I=fjq9B_tQvHm~47W@;Un zB&yvV;l;}0{oFk zW!#-l1{G?Df9&s4ojlakIhrtA`^uU0#Y(|FlsINGh#p1h>Tgh+1W3$c(_%zJ6Cq;b zjD~<;Uf=A%i0%$^MOXvz1l~!&ctyOG$+WJIan!X~y0D(fazk~?wF}3$p%*DB?-qtt zG_phQo{&`iMB(y*NQytkV=Ss-ETqG2G+tIK7u{rfUG9jcajLsLDFF~HgZ!j-NxY!U z;KAgKC)ZzY!W1#+TyF3IN5FASi>z(lF?UVZEJ37sl=bxhnX(+R@PaLS#ITkQ<2iSw=k=2dLGFsP!!Eh z>@=1rkh^W;Z=Xy2Ep(TF-(3SRxE|j+1!xV;lZ0p17vC_qYDnJrqKZEbdg9di{ID|IFBwy}JkWcSnp_|Dd2#JBlZE{^63#8}4$V$mzylOfhp zZPgydOpCN?HuDP1CJQE3AUt8<*VyEZfXSBthO8!URyUP4@8_N;H`%iLI*I5@7-5B2 z?Xqg6{%22xph;4`Rsk&AK=8{Tcm(0=_!mM&Vz((&$v&VNI=4=2Z zkP8b_rpv~L^kZ5!oR|6>1_Et z(%#<1Z)uaPE6Ux{mHo9m4%1av=&B9 zxB4W+YUvB!&%)yKllkHRF?W8%;&#cvT6kd2+iWX2#u69S2mpSCz0i_BH5|me&Pd6~ zr#2dgsz=75wz_vcmw{=hKd+jx9z3O-{AA}_I-Kl@6?+EgSyS_cEs1Sg z+^G@z##j@gH`lk)qyKW3C3@6kji91KG0sbQd{M6)H`?LhWV25?cg6DzfS>({9mY7Z z{s3}EkmR&n=5m~_dSV|JYTkuzUmSYU9YZwC8zFh}D~wP2s9hiskyr$GWuRo3u^?S_ zlBiIcU+?kzqr5Yi9^6#172(AVjg1xQi{2kLl!T>|Z&=hU&RX#V)t81dGNo_d@}^X7 zdNuN*=_WHh4xe{YIAvW#C31TuTAS&4_QRsv`_RxPPK&XX{GVs(&{yhikZ`Uf^wMZV z_FDLErU@tdv*By5_{TNUn@NPHA+`GO!K9??zr4ji8dms3AzU#tAEunRICe&i` zI`GiKHgm)V_V1bM|Iv$-X7(w;csg!_IMdbug%ih52-+WAvn|zbl~JO{nDnk3OS*9< zJ>u*^!7Gs5EJXFTOmwMH@-WYO+*0TP$SXEdD* zSlUNeTB}HtsiiLFo#jL`#_D@OC9ou>PuqAnSotVYNYrJYI1w$zwa{7$Zio&3P3#vAEDi{|cdMY?AT4xxt~i*x!nV-jOAGIQ zpLk!RAiy%5nJqTYv+Y74`4W2FQeyWyfhwP@VSRVAxlWJ-AgLPi(CW_uCUp}_{LbD= zNar>fMONn5(^IUxUAV}gEw+C4tXLgJ74yMxhsvB7p=h*K%hMoODkw3_N+r*~@h7q3 zTB_LCk3hv0>~q`qTx+8McY$^tn`IeK0~nvkonV&^4Q#6k2MgbIhX{pZUf^P4IzOC?sjo_dd`6MbggeYbz(Px<|%rLNO1Y&JGg%;8mv*I|#m7UT=hF zY57Qp+8ie`osVy{OvylTVynr}{B*B8g9M)U92}x~40#D@3zZ~c%R3>#TaK2_T}I|? z4mP?uzplRQdP1Egy*6B((2A`(TQVDSZqvhy=~P~S=#BFN{2#}h%QCe&IVKh0Uk)s9 z9x7+ni0MXwJBjnaz$!8h^3x2`W^&Mid)U5j}) z)CoK~&<}d_?_RJhvI>OjZ7Vj%Ui@&4#a!S8bMS`-<_(gb(%Cb(r8WT6yVgjCncU1g zc3G(lWsc*|6FuMdOOx2!wVm-!;e-DeZ&AM{GqQy}h$!l>HJyhoht=~ubtq?oC+?;^ z`bqhyLgz%Md_d>&4(KkqGi4eflf4TA1hl^k-EmZ)X8Sr+3}01ue!QV_)q`Fe1=es$ z=Jhn=8<)Z`0yQ8nwlyZH=7~|ls7VcwD?hsC9iPtR=}1x~7u$^^dLHL?Zz!Ji{URsd z^Nf|QuW#NayP>8_jk z&?COe-e-#i6QLjxMZAtOgcvP8{yqO3XS?Ttj=?!B4HGO6YH;jC2RcaJ)!KTqMoH@S zAD|(NLRZ3)CeFe4Rg2~hk(CzgF5f+$9s%p-p4bi$YlXrV>b49Wco?u*X#6*dE+@D6;AS$X2I?!yVi5np9yMAGiebtUUkF3O(U7TH@MVi=6qX zkqsmjpSCA7a^td!NsxjQ)Yx$6sEAvmUx|Wb@R57jgNTQn8hv07SoSbK)`=tj_iiuWBrMkInqf*MKG|OTH!fBvIZ!qC5;D@@|L6O(d=DUSq_*` zS>7;HXB1}_`T@-Qt$_3W48X5Jyx1Xb&Bq*&cba;9E8{A-9;WaX-`0MGd2UEVH=0?z1HF$uBqwAq zt$yy3O$+(mj>U5^LRl)hC!tVuge7ELmnfs6jteKzP|%TWQRq)(3kp|p z&9KEu?l|cXWYq&yHslG9-QP-vc+KPjO(QqEwt^z^HUCv_Co+N}ttOR8p}dFN$#n+glzri5~4Z%XoPPT${&t-OQo=NF}_wSbx@4Cjr}ftbU6wz3XehfTdH8t zXLH@skA-4hd#In?pE)(I7L7`JLHeO|Wv`?pdqIu2WL0Q`SzH{*}r3 zI`8D_@tM$Ddog#yjmYhsn{Q6P?C;ioymYJeAfb2SMjrB7JHLzxlmg_3Bw^{=%^^Fe z53SOLYx~M5`57D-}e1CO@s#1DXy`{!>=?|zy3UkTOl4JYO zxgd>=R&myr_Fr>BknM>k&U{bnmeY&V<+m}W&=}+mTf+Mdj-K{(BL+jYCFC(CzQiyn z&P93yW9|X)jcb*I$%A~=$ty_Rt#!r~SN0|#ZJjouE`(=Yr*=pK>qu?ai2R~kiT;ia zM4UO$SinA^963hj@|&v_v+PHsWQOb#sUDuxp==}w-GhyEOvJ7G8*2{wXI|Y(-uvhr zyeC=YSEGiX4$HSpw5gZoN7i?+=#B90~Pg!nEG#wPZ zBzQuR^VMk4d~_+7D2ZcK=gvb{zFc$!WIR;&YfbHOjF3IOcTHFyE(|0en#j$^>xWnV zZJw1+Sm?}p6SP~bj9EjG(1U12)5m+o;1wsQ2|AHx`HBBo@^FBHZ=_}YImV;*tT=@J zvbyYsO|qtY>#Nmx$D>izmbg}5z^)Xr4&mx|)#SZuM`45q`H!U6n5TFx4~F-&Vg{IZ z*V=N+NWw_^gROP9Rgv+pawtE1>1)!Nrj*GG@i3AO*Kq#G4%e8N4eQUXd#vNdbi-hE zNR@O@t%R6IzK@V==jcTX-lC6$;URI`Jfw}^gG*?$jyJ8al}8K&+lHOp1HB5MDQlWq zNN+%v*jdk_|JhtO<0f0gsR+u3QvB8(Qr+Bhx>U*LKQfaF^B`$4t>T;{9%JW5D$8y1 zoGH#>7yIC_`Lk83abe{pDZ;Qu@n@AU#6I8V0Rw6yh}}|3)N2L%4wmwRs;hjl#Jc^} z$+8}&=(HRh?`fc{{9dk(JCEnIVTPsT#5}WnzJAxy%2ZFPU1ojT3VZA80L)I{w(rF- z&7b%8i1tk?;qE~Z^oSqa@Pv#MxAJ;&YGWCyd=rc2s(D<3=U#dC=i4^WZSEE1w45gi zE1MP3mmEqYr%24<3pG4s3~piOF`p1|I!S5O*ORbV!Yqrlt}FsPIr%v|(S&ZlMXr9o z9=3FuK;pqL;o~{~yJrqX(D17lFaoKrS;wBL=N{QorL*#S_{@8+__pE1dcCCbm`4@O zto*!M`PTMv(tbv0STypUJQE}ii&}8kBad^xAHXGA;Vz%twUuH#{iG*|35&geQZIRW ziix<wosdkjo5xYx-W-!ZnrRd=T*W2DYm~g~AWG&))(vg0<%UeVj1S92w z02$q#CO5R}nhOBJJhhtzO6M^SZT`U&wYJWbIfyc0KIL4N<27= zhQeOrn_x_Dd19B!i-iYDL~_WYK?lhrG=?NZB6`DEh-VS~W-xyzkBgXf?Sj}|QIjc$ z{iPVtNrpq3YKxTe8w#}1u`+rbJV-j$6NOhfB< z?7?_>arOB*Y~+~j*`j`cr9R^#WciPVzMD393yXS@?kBJ3<0`)efR9K2HjRp)FJ%bC z^p&I)#0VbjI;F+*(6*elaJsb2-xS;ff8W_l{V^2-HG0J6{(1- z#EELZDlonDXMKVj`C))Q)H8m#23M8pjJ!n?7iZq)7z|Inm|l@8XpJ_|CIEl$=w}aY z&E#$4P|$#3xl2TaK)%*QcY0t+1{=*roT?|&wdvo3g+@8=1tjfijZB>pTQ7J6X8kk+ ztu2;&x1uKeGUQ%$yB4NDcuyvG!qxi$tsFsf92nozMGJEa z!61#LQvt21WB%}dCtp}`wq)NyIYkyNz9;z6suWH?KGDoxb4|R#6`)Wn9cKj4G6m)e zHBSw(2s@Z;Vbi?Yq_X7VoXC${;-r4O6v$LXtyTKmaM$P|ps*lL{x9PNr_ld@h^C!jBvC0-!TkIMunNL|hggm!`>W2(oOxX_3-w zkP}Gd@*ao#NSViURZMAFxeT{VNJo9}TQJ_EmSC^89iMyIw4tLjb82%r(Tb;hB<;tk ziBpSZ@!AINBzDaq>xv!yhK&4D+*_LcG#t~p6INr$xZ?#@D|Ca6dZvzx!|Z{DYu?;b zB?__1vtz4CT3m8(lsG-|p1I?(5RuaL^Y+2Li@_iE?a^w8?h?}Z)xMwqk_bs&>cQZW??M_UQB|)t$@Iw z8JJI&isK(4*BDpNj;i(UD}7}RQjywe`@4BrET#N-mz=-Jo*&&Jm9Ie%MN}$#H3yNs zIg7vrnJ^M+v^c~U76hfq-E2_nlhS0u6yr_ClM&k5W^>DA9zI2Z> zpB)rs_4Bn$$&ExGRUgQ!_m^xFoq9&vsd-?)=)JRR8wJv!<0MgTVb_eMbj{T&!u~G^ zxF)>iQBdf??%YJCYKzC67*B5=Mj7Sa5_S#&)5{{$pa6Qt!tjl2Uy=9Mjn>y`ds1Pv z)#@S0nAdF0frs!Yxv)Pw`LE-%_0$TB=-|Cz^qqnlw-oyX=@qCS5W0x(JMvWJ?~eWpYc>>saN1pVP%Wo5jlH+0+IVnk%Zf zZKQS(EcQ2cd6<@bkW$tT>OWVcrU$(LZoEiS4@;9(^N@p=kgv#!IScHj43>0cmX_CF zL-d>AN>gRlGcIK0MOL69t#BW~lJ2n&N%t;g+|4IowJUNK6{tfwG~p_Pl5|cNR5FA| zXp?!hS(u3gCP>F^WUeCMr!*W79Y?hmE3BUEMJTr^ltQ8UbDdFJ^O_xD-L)?7p0rvhgmr5$1FjnPzWsuf({xr2EuZTM7+;6e;9fKEK~ikcXZ0 zmKA&~4O5vAkTEQm@rp8U05IWHgGEcTH~Q3|++;Je{;dG#U90X>6Z1qla!uWOY^{LY z(v;+&v4uqkq*~?K4x0JMp9A3crV8*oxaiOrk+z**4F{qvU{iBJ)z^^ zO3}}pRMDgWA_^PJ>hSsIX{Q8d^mzN{p9?}{c^PH5s~L>gQb}JHpV($bDAh7r1kT$k zWG$2Y>JW*j-O3AH2v|S(7P28m(J*I9gq%=9706bupQ||LtY@MZ(Xo{Al2wMWzVap$ zvE2(D876&bY?i2&JBE+(V0vZYFNSr-z-OkNq+mK=PUZ1WV&llYNYn?JrJ;--{9Ds; zaRC7(x&02?wj5c@qgBx`QI?zOE6DC^yz_+}yOGtV6rLRO_{>K5ThZ&3!w^!ymMc^J zl`pAepu0l;O03!yZFR#5RZ10QpOU{c;no`WaB;F#fZZ=muh=sPRep#D`}h#6tu7=9 zf_zD3m8yL)uth<{8O~YLFJAEPgRUVCknW_tnyOckM^xOn%jNDB5NT* z9((>`*|if1jfD>>&kUKD+nC)`I+!{@UzwjmajU{mv+_>1r*ydn8tJ=4pL$QXCK2s; zf#4|OEc0k~8S&Qwl}GQU+f!gx2zgzcn(-AVn_oqU)`9kN35);G=opkY2b?3yj*dec z5cpjYV7YV-d@rSHU5TtsU`g>+jxyC{oBskp#nR)6(2CW$;x764^3nX0e_V)55aXkHiZ` zb!uQM)9DrS0MTvstr+uqNMYa!#k>{K;)Lf^XBR& zi`@5db84g^I(d;-2BnE`Mv)e!gY>|5x?ghFoLDRdn zrKv0I&q8e!j8zLlcY`8}d%He7exeBV2l1;> z(67=wU&z9|`xH%{<`|a*+-}xO16jS}p@gRhrO`_~Q$>Iph7-j^lmYZ%x;fb%cMthn zwWj3!cd+2#sG<+FEG%L?dU(9{=<5xzByu>Uy~MgEKGc*VHnPgGw$AN@sgH_l)m_ci z@ntGMINAr<=_y=yn~gN3f4Uv_^32bRoo|T#YGOH4g0Vm)WkR5A$J`9r@H85k_qDh-<_hi$iZiZbMW_7QZ(Lrj+3^Ph~{-l;-bv#O-NmZnkP$G z7A(%;$$c>1UV3uw5$oQy)6RwriMTy8#YGC5&M|XPXIqfH3=iC`oTUNxZfa( zrPgsePYyWTW9k&ea5mU?)u*ytX^WaSo7UtQV54!F1jv zIj}<{Emv&XLGz@p#n*tA1+k#=!J;Jd+&uR22)(&umCMrIY>@)FLrSf~qvzU`eox=f z!v=5mqNz%TUq(0*SHhS-j{o3m7~097M3hE2&m5_dQgxP2>*dP=?jJds<(#-EbA6L( zt2K@Kg+TP$%qGJ=QD^%NJ3NluR66=(3xu#P?B0SEBeX6%U%c^z=^HAQAIXRXjo@Mj zNwEAHPo%H`TtfX_q}ytb&yT#v>LE*_r=Ux&sC#)M3ieKjXv_lxPygQgkv{*v?X*Vp#_v_Q{VNEql$5n zX|l~_ZC=?x-baH4{%p9ka-kxX^6Shl>8?*O)tA;AEqGUQhA}A|0$Koh8&JVnflYRE zu1+bj{`=ItQvDeSHO%B7sD`HH0}`lw)f?7#k`|X*u%yx9*woG00iI?QlG+r?U6&SU zrWG1G6Sm$x?USoHt=#y7e6NRZI#DHx2mA~n4<_C=jcA-UW031wJEUkrgo~;1J3T6< zKIUpZ@oeRY1@WIO?cTL1#aEEH3x`0zm?*S|3<;&VwEab6!U$@sG8#5=Un$4&$~p$Z6hty(4QEdFF) ziP?dW@|m(Nd+|LsjO$pQa(+6PO{>ula)-7%u#3f(D)9p5A+&<|7ovCbb}b>U^9Pzm zSB~L^h3(BO#kSAYR+I|`nDksyLAwD}+~1`7*D3&h1&)Uv6dg-VvCi2m z)aR1>%xAd#W7%^pWB4%jFO>811oM?+@=NyHUR8BZfI-&=BU9}91SG2nxQKFb#rR7B z7xJ9&hW42(o=GVcARBNwVVH>((={(XFK}Nd}j> zDCT1t>G0B2Q}O|-XG-UqI_4$7Fz|D!e&(TY1~l4=GB5mNX+ZKr?s5Wf@4W+mvjnxb_8T+|S zLl0Fbi(3K;Fw0)jgenpe1fK7l{7dnLhwG^oqSSHEh-&-ju1s4wbB!{i_Ntm#I**RX zzd)GDYf-q`BF-LVHLd|{g;Ca_15AVK98hQgT4Z%w zvqTJV9giKu_4}Zi{-o085*<-V1)UCB@uaLrrFbE>;>lAbrW{=eUYO$vHBwXWSqqmU z-gIXc-{weTryL?2+;$#9{&sw5%EKJ`t|yOFQSTf!J1cNF# z-cUNbwb+$lay)cfu>O6z%C|4hd?;dioXAz0jne+xW^L4at8B=qnr) zb$FIJMh}T5D{xZsJTBCkphIE>yN zS9$++S}nV0(#bZQ`YorV)m5XdRNi%;Y7MM?Z!3NNzs9}-sFG&QvTcN_cXx-z-Q8jN_w8)FiJ6I*imIr{tS_bHoXo6@JfG~Mo_3EgMOyV| zSy@{5q`fheCCU&g%z$b>VlzEyM1m7{D@sNz&HBc9!+2aKkw4|g03GV|%HNFId571;2k`1sQyTdP z3g&NE0e$at4<}<`pOrSDrOunojw|&pjcm(}I9cVgbF`*UsV z<6&(X>aPIb7frq4+Cg=hU?I$UjVkF=DKi1nX9(?Jhf}7Y3ThB&d?rUqqf`)1uh)@7jLM#EN$b^U_X<^B zK-W4hUnEaeZhmRU>tt%zc3my&0TotNu^PGzhMe4qPK}_vu`K+mnSrQfHka3h)S>YJ zE<|+V01cb?b`t@z z4c>Pqm@bFtjtrg}6E|`D-{`;RrIXeOxnajcupZ6+kO^GimIs4`;ts>T!Juu&bu(gNNC-vBDmG!E2NmjzWk=%% z(yJ0?uI87v8HNUjzJ;Hdb0F*`an>?{`r?*hOsvCZCf<#V zp~9!XXCF4}t`k>UTd~n)?t4>s+ zwKiPiHMEDWynScV*wn?E6Ke$-{=Ti{+Y?9D68z3(ak1Rt9K-_{r-DxeoB2ku25i%= z2@J7)KO8H`Y#hwTiIn@{&De{hHfc#i%C#K!_vt45urW@35|JIk$nV+j==$fiFlf_a z?y5~f2Suic7vcuRxd(7Y)qeVVVqy@5NA%sJc0m}dS%i|DRrEO-j(%$WNut7af`QDd zxEHsW`~9O%a(|4|Ad^aus6h4)7Wr~f;X6J|9r8Tc3(V1`(by;Qe_Kn4f7$``NvQ=%rr$o|?#wCMm$x&Q;JoHHrE!MoyOvIfE6?FChv z6_~zek*tUbyOkwakBo)nMK6^>K3a_lBKgTYnLO%?+%BHYDMw48rAmU~wawGX4iRcq z2!X=i_+dBGk=SoKD+GpeF`NEiIRL+q2j0m&w??8-#S#zi|i|)lT}(B^=kq2!d;(g|j5DpS%6@Tp-bsP0}Nmir}Ta zIh9*qVrZ+I@bcRMQmqmaYX;S{yw5%Xiza*Hr3D8YanBF&XJbUM+bTrPS|e*`Syg+% zIIM?T)y1J%mm)_yigk^23)AAX;-E7-!W*4OzgEsYW34!giZ}4*CZ8qZJKhU?I8Ktf z#;%Zs|LE4uBL(M%{5lvBgXU%qr&d~5$u|*RD{7QX2NGHi9uH5vYsiNutQf@6-`*IT z6tck7JA|~)2f}jH8_#zn7fg4GnUvpM$i{n*UGo}2_w2lRMWaam$nH35&$4Pr3|&ca zQC*2(rktd9^p$IsD?!seZZV{Vh)mUn=p;7ew;7#+Od%5GpvgNaL0*4L`ewiK0{p2A zW=S^f7iOG=5v9LZ8)&v+aMpXY#$To8PHAnLYBNK&EwD7HD{j7TOXYwlkhspqx=-iQ zDDcxl*eUZ71dJE|^x0;1@x~Dc$usyV4i5=8O%BweCSqr?Cz>XyI7erWHz8&3u?CEE zHA{CzK7-yngEl^{4D3;NC}6fZ^7qmNf|WE8et(#N_S!DV0F>)0tJ0!~GUJ@N*Zgix z8jriBht5-F2nliJ?|X14vluusYZJ=%m2~{Qc$7X3`I{yuiE?-^ZYgF#8Y%6y@CaAfRr$ z;K@)$1rt_CYhrf8a%1hbznS4Y`Iy7b+v{CQiP|VFniZ~H$U7s?v41U~PP!3wxvt-m zA+x{PanDc5C-TW!rrEQ?ItWSf&Q(}YyiRVaJsiKpF!16&r2{ zbpt$76&w#59+gWf+fKgOrS;M|)TG^XY;oT+=aI}>44#=&pd2vqn zRx$3J8M#UQ9Zt;aH_N;7;3FFKw#mC0X}K-%T`&~2A^+$!KSPQeUsG^fDK|+fVVUsX zcI|Q>$-3e`VNLwYC0fFpAMo)8!<|Z)=ZBgyA^^@#b zz2#2g?U6}Rv2Dgx<50VqRMGuq+t%5W$qu8746BT90o{#ABwYgBP(HF*=G zg)8evTaT3w{$lVhId{}4y|6CY&)>ZMmo)Y>7Of0YTyz;W1WKey2_GMBxW8_l3G1Bp z1zQpGqljw9cl$I^xFPI~=>K-Z!w?4j!cqp;5wK~OZxxX6p<(iQfo5V#mqu&71cAf; z@#mhDFW&Jrt>ZX&r;-TFn9vBt@uYuNSVHTEz5AH|WK>FnRLpU&qg(9nu8>iBAnRKs zc%})^A-?JXp3!#avZ0gdl09fmWbv>=ZkQHpJym7=MII`H3`xTqKd#e3z4 zTPs+J)=S*!*4Z_Y*7c!jlaF_v+(IFKScp_y<8$}pW6}Y}`<;qn8TD`z-81@~=psz| zabz}*9)^T)C<|jJofX(40vj{j$o{BcG;-k&X++Xw*g;N)mq_GkE}dvE^CY>+A)3ed`Ii zR>mZ)Ir{aj+4O~kpX0c>mn{vV8X8{$yr{~N7OX9NifkgYtuHiBJOgO<_;sJerpH@C zy&-!xBNx>)cs47LY_6wx7Ej27yDp$*Vko?-_=Nywa5RxZ516nh%F&26|D2x|6n<}; z4Hh$Ylo|@V&3gl|nYO64UBYJz_(zQ0N>A}54;%}ZBDnV%xx%eQWt}HIEsBJa_DglT z4dhGNuj-ZR#b`#XoNk|6&7Q!ad!DkcM#L>Dr80Y20{%9_IP-^^ zpF$zeE{D!c72&eq&UvK*E{dD&(sjl>Z3~8UNgnY;M@gTxizYrBg4(BwJhlb$gLZ_e zT|Sk+teps^UN^6STHKei=|t(SM`+oR8&Av%U{0z~k#TU&jvDsmc=wVg4M%!<0F;S2 zr?nDD)d#m9*Oz4ntCF-3bVqBO#jvt_O>a9UkNHh4m1MwJ3aw4#Sx5-Z;1(i_1n|9zZei^L37Xc&-zRpvIcq~wSb5w2VRKz=ehMw{rnv^ zVZ8j>lu_znbDu5-sE=~NTanO!ATtpHK@mKg z4xdghqc1InLN>aqa*>(Q4Wn2HIUG;RTr)GI6O z?)S8h(uk)BPT0tdfvp0~eVT_I;`#5ae)IJ8CG055hcHn@{!eB4ycDVZ)VFS5%Y~Rf zd+q(k8bPU*!?D8N5M7fsY92GU+^NTqGhqFcF}g$Z85@K~qY@{CCDo@c9*?W|k3XK> zS3sK(dEUwvT@#zsIKjCS{GXc5xLBeJ$tE2A{W)tPYLq~lN*OVw3~Kz9+iooxo;~(V z=t2&pO|`an7)U{VpYSS%Pt|`mHxb+q^cxrOmAR-X0~TB6m~OeVNA20S<3bKY0WefP z39(upr!$aQSLav3)npyx;2$b^pib6coItS~7BwQe0rBSX?wDp;+n9GYj{W*SR?31gD+H_ltRyisZfC<+33UO!iF zm^**}{ivAu84+){=F`7Ph+GKE;(N*;5P2Wq_b`${@WxG@hS0HKV?c87jXbzsbMTwz z>3$DYQa#qE{%PKVO-8BtfS_Fo^yj%3SK35pD5}`zb~43{PDug z6l>_z1f^F~;-uvHHj>se>+>zS5}jwTfc0c)1Z<-PkgV=irVNO%uBWm&*S&Uj5$u-B zl9`ycN{dRUebi4fM_l$aCrof?;z%@7hP9S4N$pIVP8ZEA6S%4C9&y~&6*2Tdx>R$d zCYJ*dSB}nHwIrA`hq@{6rd=CxW#!RTp_k2Blik;GFK=`oIuxPlfoI$$ z7?l+w7=8OAusr7!85rMnB1k@r}x9|&jRmM>mH8OP)`mf zWwPFnoafEj_!`7x;#2eI>$`fa6+R;Efkx>@c6G#*NbYt8=_n*vw=0@f z!!1gGdk4zh21VHGSH^`}H(8|=bhUVMabJh;t}!DU}z z-Fyl|mU7OF2416!Ww&1v?d)XUxY3r@fkj-u7ACW$UU`;e(&0jE@QL{!Q7X-{sgy6T zr_!G!l)M)H*<| zE+%HY(~443ZaiE*ffL9wcmIe1F9T;k?WhOKzeKhg&@=c|-`tp_PTIIOU$Gb%xGE?# z>0_Cvg=S75#j=J-grO@qC$C&UvLg7FGiEJ~8eOjNf~0#~VGVSx4bbGHg=A- zj#l9;2wH5}<~s#@Ck~0E@^CoBYi0p8TqiY_hq0o8FJw#T{ZqRjV9ID3#|)8;{{-XC!`UG!t3(_6f_zX;|@a{(suPC?JX zS8$x;vHR83aKf-%xjVMzv8t)_#mko%$g9o@$s1=G3E+b>A#_=k<}JsX7N1bh1RWRl zjtaX}%Biw5G}%j??;8f2aLX2+XnQH2h>{dCHW7gUksjRAzdcs`2mC&L$q%pS|ioQHR!PF3QNG%8Tok z{S7R^l)rPjC7&}mQP99YH9?P^H>WfIu`!! zm|L*i28pmsVGtAZ=jLn@<(*lxA#X%1jh=!l;?cl&BwcJpsdR5ozm8xUm0?tLLPlQ@ zZQsA8^Y3ZQAkCoA-=#J43)@CS?U2*o4eRC9;Dy#}s5*kG0S zsYcC+WXZXawx$5>zE1BBOr9$J4(58hO()M!E5BQCBjTydkF0`naE$8j2+BR|z5a=Y z-H6ar$&ay|aYft|0>94&32`}?CrJ5&QoQZ2YJ$;!qrKqpRn94wd^i#n+%PN+uNgBI zf@Nf@^&^nL`O%2fNTSzu9Pl1(VAC(O=4z;RpoLP6Q+nOF&s4rT4W0gG*KZ#anq!gD z4=A(y5qXCQwP9C!60U^P|BIY53oYiyEPY=r-Qu;Qb0$mkAEafDsWjZeJ=~&&vnm=9 zD(J;L-xa-uxXJJ1n~g?wGJ@+3Pv3OMUYBOU59gDamWGA2kf~1XiWhopdpx%$=q zrf2r{9TXqmE`p({QLe~X`N_G|S5CWAa!c}K{{DGd@}+@0X}YniL6*SNxlY@pXFFXG z!`pHCnr0*4v_MvGez)->X0=#bv~Y3|65BY_j1a<4+p=p{M(U%kpr&!Jax5t=>=xi@ zx8Ts&4^gV}bwm}wEH{GwSjou(Hf$P>q%Ml>-|D90|9wcr?FiTsp;{V%j{V9cx504-kP-e=H+HVWIbGIn2MU!W@VOK_#Zq}Y)%U+C z1${CV8IPOT+g#FJezZ}sSwkWhd9Up$GNX7`>I=!<1PF>w96pwtSu+#{1zb#9yQ|Vo z=2~CB`Bq-;kJ%`QEMWiO$Em0fv1_0zyt)m8;u5YNA+Hx9WweSIMUUYS3G{h)|MpAh z3A>03cn-O65@u`+Q{I{xxrP(w90!VxJkTYA-ze+{r%|l?JtvEC=e;uoN@N=J=Li#* zn}O;~YJ&+_Q)9C^ek_+ZarCsYmt*H+kgvm(*$+gE^Vvevfe?=M(pnbOTl& z%bs*Li@oT0=VKiprrg_izP0c=D;lc0<7(QKxTjbis3{8n{0%WLJcmlh#Ju_L#G&=H zLo%RgE9!WagU#(Hid^N2BEyaGi`Ai-F)PC(LKXvCj+R8e{2X$U=LECUKeU(ZSL5CH z2$^|VvrS8T!WD$v((3#XqLm?G+6ttbMNjtx`B5MfB+RBm;1_ktzvR$JGwJHqC`U5@i3rf zT{$(7h5axd?Rs_3wR}@g$kZbO%ZT3#hh@Tj*Uh&33F{nZoaE16sqS^_U8vul*`RZR z9R_vv+G#jPD?GHcAjtu8nRp)JE!k&#i0G37)D4IoppPDyHm@MRZei0KYlSsLGb($# z%lm>7@G!o7BQLNzAFb$KyC1JrrbC#C(KLv>2)#%i1qO%88l*K{RR@vUa&83OCe1B- zo6lkVa>QffJ+KJT9-U&fwkTH(4#*|x9yUP@ZMZhw1X58bK-P(f7CBb=6*_7;L3S3L z_svK%WDGdnOSDYC%=}!f!SFL6(I_E@n{D;+_@0~>&Q^WMnHzmeSy;#7v~gp*54KD=Sq#hV4cEm-4ZFj5*2zUEHK&3QvQ~*YkUWVkj}a7y8QU%BAltj z{^t9iEa|SYgLJZk?-9^vTfmY{3TPSBOqJ_SN>JfFM^)XizvBEKW@jIJ;jL(bwLY3w zR)xl@P;MSCIVWFg;@!BkNX6E47YZ&CMTnzPHk|boFdIaWd&FqwwqJ9-I$7zC>L&CE zKH+-VQGZ<}^6=#iPwt^Y^gNLZhW7+zs-d!?^`_r#94%#2N(Vq7h;k_(*awg)tX$B! z#9Ff?8*lHL;0+C1(Bxd(oIkY`6;YAWtVmE;}5MkW_ zF>*ybz?1N4SeN+n!yQpPp zQIpEK-;j&M|2yFdOgy`l7Fxq96-hA; z3^Hm!!~5&~6KRW;=eOvbp(&;Z{R^KzRbpjUE+(kF4i6@i6Gb%R6z{D(5U#EoO4>2S z7unI2G92UCIm?!7sFriJuTII8CuN>Bdn!7(v_)9zn~4qX)fRF+yk|Z}KCuyBes|&u zHi|f%RCsm(wq+Ok5}T7uTEJ$J1N3*s^Hz%!ax#35!={>wu?CLbK@QAE#br0d=IWEW z^ZOdSc?iL}x}R-6d!3O5JP6dfzL{K(+6%8_sZ%RY6SCRu4}Iod(1Uc==Bs5srjO0D zXY(%(oOp0RdVFg13TDr>nHS%`_&;!bPp?N;yfWbuZK%c7W|9uPR?&+$7B9(C9Xs`J zx%I${X+JZh%m!@udKV)RALE8hX;te7T0(9gkIt`jztpM&4EFPo4>dv_lQfy__iRM{1uZ z$Bv*a=a&VQ#u;-9U@}8<`td!K8o7*Wi?Y%g_b}QtM#!}$2xI$CIP?d^^C(^pcTr3| zNenm{fsG#JQB$6tWZNJdJ0aXA;l1)!&^!}3c_Gv6G|D|vcbag2cz1Zp9tL)PrdOWn zcsRlj2~p=OlpWKf#5h^kUlED2nZJ>F*(6`qOQsJ+WxQ;Z_Z$lhb8wQM)+zQK3n-|* zdnjLSTr=g6-*XUP`i?@rnfqjr({vt4j8(hO=1nDLKXD%qA4&}I%5h%1&no4R^W3`6 zjvh)_=8$K-a37B!O3-;{J6+r+Ipq9Se&Q~gJ*3Riz8rtQ*`UL-MbVu-tyj}tUF;0I zXmv)w%Wf%SUBY;t&#W2sURUCMbJf2~fcpIAVN+)K-@t|Ke+?J<{~cV;ntm==RL7;- zkcj7#;J!SbUK9UkxLA>wSv{B_f5FA#?w|rHp2BF=T$(L-P6veBKPi-i#j2w5p*@9hj z(sgcpaakt1m9$G{NloUxzn}PU9evR`jg{Q(DDYSWDkEkTUPZ?RgTV^H+&`|OB4V4- zVRK*v9}?Y`@1-Y`^*#?KMT*tCG4Xxcn>`qz=dP7XMs4Bp>_apyYbAT_!7Ml6TEEe- zh+{cq@wIwmq~_QavO1|bc9H&gFhChi#{9)aE!9S?D?@+eIfCZa3oW(n&6YL~?X^!_ zhB|rb8m-I>joR3(HtI_(Z4H!6NG7pa4d5_liMH#l$P z+2adE``PeY<=#V4i5wiXFTkWtMMr!A=FG7GIR~f6%Ldh0L?E`!&Gqf;qxYVK8qBE! z@lD@6kcj+3%8g~V)6s3A=@(3H+-Ga25@o%z@7BM-;g#L+6|s6K@%!u27j*u?%`11& z`XMD6uUzS!yQJw7mt&XLqtwt^kn7#c3EE**Jwm>x)rL?gc~#lOJ&#QWdinYg&))7P zr0A6U6~gsxiTr;B3&X!*Mab61$=Jrp5y1ErsR*ExF$S6&2->;D* zKnFl4Z{YA{2w?gLX;lKCJt`aWg67LYnU+F8qc|A?3{LN_smK`JF z&0kH1mkFelG39K`m{;p;4u?J>>6HjVpiFsq8H{=a;q}hv#^-JhcsCVB4#&rhw61VY z$S+Un#HbT}aiPK3H~0usuw`{)yWyN{*H^mhv&Hz#{8C93rmu|o7X!TA2(?Jo@LQrX z)^!ACvo5;YCJqc1ZppL|srM$9|%+=MYIwQ9JM}$mEI&UTP_SPf!sXlzWUcJ~<(^P^|Pj^SIH6mITChL^ZB39fK6;lIyslF_fe?T(2)wINjlK<|>o57}8t&MLG7ETR)Q_QY$Tm6`1)Yf(p0>p;z z<0^0H97I3k{S^~!&%HdR_(*kw$=Q zULsu0HZW!(*HAsQQ^GcA2IvwL))RC9Y_d_(t?Ijk^+hTiX47c&F1DaKq`mV@2IajO zRJu7#D<~LmSPd5SO6v^2?lSFXV$wh(Rvi=PI3oPmg|WB8D4f995M+)?p#4z+^)T8%LqYX&P30< zSCQ&Q@GAM$1S7RZHPBcNyN(kcfCVw3M`o|~K`?PN?Dt=(is|3zL&(9{z{%X!M)<1} z44@F^WTa-ge#C36qsf2<^g0b1OQMl1}*#;hDn28KWe zlYgEvBR!CrnT-WV&uC<5V!+GI#?HaQ3S?zsVqoLoU|?f4GGYYM8yXmxu(KO5asU~4 zb^a5b8yS8BArl=;(14SMgNxz-Bn;q>e++#421o`S{I9Pa@ZW9U9i0puoZK9YO};ZS Ouzn{c6_FGD{(k@z&JG6v literal 0 HcmV?d00001 diff --git a/vivado.log b/vivado.log index 4996126..40ff9d6 100644 --- a/vivado.log +++ b/vivado.log @@ -546,3 +546,5 @@ INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum o report_clock_interaction -delay_type min_max -significant_digits 3 -name timing_2 INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs +exit +INFO: [Common 17-206] Exiting Vivado at Tue Dec 12 16:09:23 2023... diff --git a/vivado_pid14373.str b/vivado_pid14373.str deleted file mode 100644 index 783b2e8..0000000 --- a/vivado_pid14373.str +++ /dev/null @@ -1,1099 +0,0 @@ -/* - -AMD Vivado v2023.2 (64-bit) [Major: 2023, Minor: 2] -SW Build: 4029153 on Fri Oct 13 20:13:54 MDT 2023 -IP Build: 4028589 on Sat Oct 14 00:45:43 MDT 2023 -IP Build: 4028589 on Sat Oct 14 00:45:43 MDT 2023 - -Process ID (PID): 14373 -License: Customer -Mode: GUI Mode - -Current time: Tue Dec 12 14:49:05 CET 2023 -Time zone: Central European Standard Time (Europe/Prague) - -OS: NAME="EndeavourOS" -OS Version: 6.6.3-arch1-1 -OS Architecture: amd64 -Available processors (cores): 4 -LSB Release Description: NAME=EndeavourOS - -Display: 0.0 -Screen size: 1920x1080 -Local screen bounds: x = 0, y = 0, width = 1920, height = 1046 -Screen resolution (DPI): 100 -Available screens: 1 -Default font: family=Dialog,name=Dialog,style=plain,size=12 -Scale size: 12 -OS font scaling: 100% -Anti-Alias Enabled: false - -Java version: 17.0.7 64-bit -JavaFX version: 17.0.1 -Java home: /tools/Xilinx/Vivado/2023.2/tps/lnx64/jre17.0.7_7 -Java executable: /tools/Xilinx/Vivado/2023.2/tps/lnx64/jre17.0.7_7/bin/java -Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Djdk.gtk.version=2, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs] -Java initial memory (-Xms): 512 MB -Java maximum memory (-Xmx): 3 GB - -User name: veronikaplevacova -User home directory: /home/veronikaplevacova -User working directory: /home/veronikaplevacova/Plocha/PCS2 -User country: US -User language: en -User locale: en_US - -RDI_BASEROOT: /tools/Xilinx/Vivado -HDI_APPROOT: /tools/Xilinx/Vivado/2023.2 -RDI_DATADIR: /tools/Xilinx/Vivado/2023.2/data -RDI_BINDIR: /tools/Xilinx/Vivado/2023.2/bin - -Vivado preferences file: /home/veronikaplevacova/.Xilinx/Vivado/2023.2/vivado.xml -Vivado preferences directory: /home/veronikaplevacova/.Xilinx/Vivado/2023.2/ -Vivado layouts directory: /home/veronikaplevacova/.Xilinx/Vivado/2023.2/data/layouts -PlanAhead jar file: /tools/Xilinx/Vivado/2023.2/lib/classes/planAhead.jar -Vivado log file: /home/veronikaplevacova/Plocha/PCS2/vivado.log -Vivado journal file: /home/veronikaplevacova/Plocha/PCS2/vivado.jou -Engine tmp dir: ./.Xil/Vivado-14373-veronika-swiftsf11433 -Non-Default Parameters: [] - -Xilinx & AMD Environment Variables --------------------------------------------------------------------------------------------- -RDI_APPROOT: /tools/Xilinx/Vivado/2023.2 -RDI_BASEROOT: /tools/Xilinx/Vivado -RDI_BINROOT: /tools/Xilinx/Vivado/2023.2/bin -RDI_BUILD: yes -RDI_DATADIR: /tools/Xilinx/Vivado/2023.2/data -RDI_INSTALLROOT: /tools/Xilinx -RDI_INSTALLVER: 2023.2 -RDI_JAVA_PLATFORM: -RDI_JAVA_VERSION: 17.0.7_7 -RDI_LIBDIR: /tools/Xilinx/Vivado/2023.2/lib/lnx64.o/Default:/tools/Xilinx/Vivado/2023.2/lib/lnx64.o -RDI_OPT_EXT: .o -RDI_PATCHROOT: -RDI_PLATFORM: lnx64 -RDI_PREPEND_PATH: /tools/Xilinx/Vivado/2023.2/ids_lite/ISE/bin/lin64 -RDI_PROG: /tools/Xilinx/Vivado/2023.2/bin/unwrapped/lnx64.o/vivado -RDI_SESSION_INFO: /home/veronikaplevacova/Plocha/PCS2:veronika-swiftsf11433_1702388917_14318 -RDI_SHARED_DATA: /tools/Xilinx/SharedData/2023.2/data -RDI_TPS_ROOT: /tools/Xilinx/Vivado/2023.2/tps/lnx64 -RDI_USE_JDK17: True -SHELL: /bin/bash -XILINX: /tools/Xilinx/Vivado/2023.2/ids_lite/ISE -XILINX_DSP: /tools/Xilinx/Vivado/2023.2/ids_lite/ISE -XILINX_HLS: /tools/Xilinx/Vitis_HLS/2023.2 -XILINX_PLANAHEAD: /tools/Xilinx/Vivado/2023.2 -XILINX_VIVADO: /tools/Xilinx/Vivado/2023.2 -XILINX_VIVADO_HLS: /tools/Xilinx/Vivado/2023.2 - - -GUI allocated memory: 512 MB -GUI max memory: 4,072 MB -Engine allocated memory: 1,329 MB - -Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. - -*/ - -// TclEventType: START_GUI -// Tcl Message: start_gui -// HMemoryUtils.trashcanNow. Engine heap size: 1,355 MB. GUI used memory: 69 MB. Current time: 12/12/23, 2:49:06 PM CET -selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr", 0); // b.c (PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS) -// Opening Vivado Project: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr. Version: Vivado v2023.2 -// TclEventType: DEBUG_PROBE_SET_CHANGE -// TclEventType: FLOW_ADDED -// Tcl Message: open_project /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr -// TclEventType: MSGMGR_MOVEMSG -// HMemoryUtils.trashcanNow. Engine heap size: 1,547 MB. GUI used memory: 67 MB. Current time: 12/12/23, 2:49:21 PM CET -// TclEventType: FILE_SET_CHANGE -// TclEventType: FILE_SET_NEW -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_CURRENT -// TclEventType: PROJECT_DASHBOARD_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_DASHBOARD_GADGET_NEW -// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE -// TclEventType: PROJECT_NEW -// Tcl Message: open_project /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.xpr -// Tcl Message: INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.gen/sources_1'. -// Tcl Message: Scanning sources... Finished scanning sources -// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified -// TclEventType: PROJECT_NEW -// [GUI Memory]: 123 MB (+126901kb) [00:00:32] -// [Engine Memory]: 1,659 MB (+1588517kb) [00:00:32] -// WARNING: HEventQueue.dispatchEvent() is taking 4138 ms. -// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2023.2/data/ip'. -// Tcl Message: open_project: Time (s): cpu = 00:00:29 ; elapsed = 00:00:18 . Memory (MB): peak = 7747.820 ; gain = 306.031 ; free physical = 173 ; free virtual = 9086 -// Project name: filter_vivado; location: /home/veronikaplevacova/Plocha/PCS2/synth; part: xc7k160tffv676-1 -// Elapsed time: 19 seconds -dismissDialog("Open Project"); // bq (Open Project Progress) -// [GUI Memory]: 151 MB (+22523kb) [00:00:38] -// Tcl Message: update_compile_order -fileset sources_1 -// Elapsed time: 29 seconds -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -// PAPropertyPanels.initPanels (jenkins_hash.vhd) elapsed time: 0.2s -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -// HMemoryUtils.trashcanNow. Engine heap size: 1,744 MB. GUI used memory: 74 MB. Current time: 12/12/23, 2:50:01 PM CET -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -// [Engine Memory]: 1,744 MB (+1627kb) [00:01:10] -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -// [Engine Memory]: 1,837 MB (+5661kb) [00:01:14] -selectCodeEditor("jenkins_final.vhd", 240, 240); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 205, 235); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 243, 243); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 253, 351); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 108, 471); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 253, 243); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 59, 255); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 159, 318); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 51, 334); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 61, 349); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 101, 155); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 144, 312); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 106, 120); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 271, 294); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 216, 357); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 239, 35); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 66, 232); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 10, 244); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 199, 447); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 53, 156); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 238, 195); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 293, 317); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 35, 332); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 105, 503); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 267, 292); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'v'); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 267, 292); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 68, 206); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 335, 420); // ad (jenkins_final.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 02m:56s -// HMemoryUtils.trashcanNow. Engine heap size: 1,883 MB. GUI used memory: 76 MB. Current time: 12/12/23, 2:52:21 PM CET -// Elapsed Time for: 'L.f': 03m:00s -// Elapsed time: 24 seconds -selectCodeEditor("jenkins_final.vhd", 50, 287); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 128, 336); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 121, 376); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 86, 213); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 71, 230); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 239, 461); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 242, 480); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 232, 395); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 265, 416); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 260, 431); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 266, 449); // ad (jenkins_final.vhd) -selectCodeEditor("jenkins_final.vhd", 270, 430); // ad (jenkins_final.vhd) -// Elapsed time: 10 seconds -selectCodeEditor("jenkins_final.vhd", 236, 204); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -selectCodeEditor("jenkins_mix.vhd", 15, 262); // ad (jenkins_mix.vhd) -typeControlKey((HResource) null, "jenkins_mix.vhd", 'v'); // ad (jenkins_mix.vhd) -// HMemoryUtils.trashcanNow. Engine heap size: 1,906 MB. GUI used memory: 77 MB. Current time: 12/12/23, 2:53:36 PM CET -selectCodeEditor("jenkins_mix.vhd", 30, 267); // ad (jenkins_mix.vhd) -selectCodeEditor("jenkins_mix.vhd", 256, 322); // ad (jenkins_mix.vhd) -selectCodeEditor("jenkins_mix.vhd", 258, 329); // ad (jenkins_mix.vhd) -selectCodeEditor("jenkins_mix.vhd", 251, 392); // ad (jenkins_mix.vhd) -selectCodeEditor("jenkins_mix.vhd", 247, 364); // ad (jenkins_mix.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 04m:28s -// [Engine Memory]: 1,944 MB (+16436kb) [00:05:02] -// HMemoryUtils.trashcanNow. Engine heap size: 1,944 MB. GUI used memory: 77 MB. Current time: 12/12/23, 2:53:56 PM CET -// Elapsed Time for: 'L.f': 04m:32s -// Elapsed time: 14 seconds -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 7, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 7, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -// HMemoryUtils.trashcanNow. Engine heap size: 1,975 MB. GUI used memory: 78 MB. Current time: 12/12/23, 2:54:11 PM CET -selectCodeEditor("block_memory.vhd", 348, 397); // ad (block_memory.vhd) -// Elapsed time: 10 seconds -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[1].storage : block_memory(behavioral) (block_memory.vhd)]", 8, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[1].storage : block_memory(behavioral) (block_memory.vhd)]", 8, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[1].storage : block_memory(behavioral) (block_memory.vhd)]", 8, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[1].storage : block_memory(behavioral) (block_memory.vhd)]", 8, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[2].storage : block_memory(behavioral) (block_memory.vhd)]", 9, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[2].storage : block_memory(behavioral) (block_memory.vhd)]", 9, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[3].storage : block_memory(behavioral) (block_memory.vhd)]", 10, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[3].storage : block_memory(behavioral) (block_memory.vhd)]", 10, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 7, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 7, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -// Elapsed time: 10 seconds -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node -// HMemoryUtils.trashcanNow. Engine heap size: 2,026 MB. GUI used memory: 78 MB. Current time: 12/12/23, 2:54:46 PM CET -selectCodeEditor("filter.vhd", 556, 358); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 556, 358); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 556, 358); // ad (filter.vhd) -// Elapsed time: 10 seconds -selectCodeEditor("filter.vhd", 216, 354); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 319, 397); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 319, 397); // ad (filter.vhd) -selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN) -selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu) -// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS -selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a (PAResourceQtoS.SaveProjectUtils_SAVE) -// TclEventType: DG_GRAPH_STALE -dismissDialog("Save Project"); // W.d (dialog0) -// TclEventType: RUN_MODIFY -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_MODIFY -// TclEventType: RUN_RESET -// TclEventType: FILE_SET_CHANGE -// TclEventType: RUN_RESET -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_RESET -// TclEventType: RUN_MODIFY -// Tcl Message: reset_run synth_1 -// TclEventType: RUN_MODIFY -// HMemoryUtils.trashcanNow. Engine heap size: 2,037 MB. GUI used memory: 79 MB. Current time: 12/12/23, 2:55:11 PM CET -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Launch Runs"); // f (dialog1) -// Tcl Message: launch_runs synth_1 -jobs 2 -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: [Tue Dec 12 14:55:16 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log -// [Engine Memory]: 2,048 MB (+6690kb) [00:06:25] -// 'i' command handler elapsed time: 12 seconds -dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress) -selectCodeEditor("filter.vhd", 157, 236); // ad (filter.vhd) -// Elapsed Time for: 'L.f': 05m:52s -// TclEventType: RUN_STATUS_CHANGE -// Elapsed Time for: 'L.f': 05m:56s -selectCodeEditor("filter.vhd", 147, 197); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 316, 220); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 298, 261); // ad (filter.vhd) -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// Elapsed time: 308 seconds -dismissDialog("Synthesis Completed"); // Q.a (dialog2) -collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, RTL Analysis, Open Elaborated Design]", 13); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 19); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 19); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 23, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Tcl Message: open_run synth_1 -name synth_1 -// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1 -// HMemoryUtils.trashcanNow. Engine heap size: 2,276 MB. GUI used memory: 80 MB. Current time: 12/12/23, 3:00:46 PM CET -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_CNS_STALE -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: FLOORPLAN_MODIFY -// TclEventType: DESIGN_NEW -// HMemoryUtils.trashcanNow. Engine heap size: 2,515 MB. GUI used memory: 86 MB. Current time: 12/12/23, 3:00:53 PM CET -// [Engine Memory]: 2,516 MB (+383226kb) [00:12:02] -// TclEventType: DESIGN_NEW -// Xgd.load filename: /tools/Xilinx/Vivado/2023.2/data/parts/xilinx/kintex7/devint/kintex7/xc7k160t/xc7k160t.xgd; ZipEntry: xc7k160t_detail.xgd elapsed time: 0.7s -// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 0.9s -// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED -// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 1s -// [GUI Memory]: 176 MB (+18432kb) [00:12:06] -// DeviceView Instantiated -// WARNING: HEventQueue.dispatchEvent() is taking 3645 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: INFO: [Device 21-403] Loading part xc7k160tffv676-1 -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 8463.555 ; gain = 0.000 ; free physical = 210 ; free virtual = 8084 -// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization -// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 8566.055 ; gain = 0.000 ; free physical = 160 ; free virtual = 7991 -// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -// Device view-level: 0.0 -// Tcl Message: open_run: Time (s): cpu = 00:00:22 ; elapsed = 00:00:16 . Memory (MB): peak = 8682.340 ; gain = 558.598 ; free physical = 191 ; free virtual = 7705 -// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY -// Elapsed time: 19 seconds -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Timing Summary"); // ag (dialog3) -// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 -// RouteApi::initDelayMediator elapsed time: 12s -// RouteApi: Init Delay Mediator Swing Worker Finished -// HMemoryUtils.trashcanNow. Engine heap size: 3,142 MB. GUI used memory: 113 MB. Current time: 12/12/23, 3:01:11 PM CET -// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -// TclEventType: TIMING_RESULTS_STALE -// TclEventType: TIMING_SUMMARY_UPDATED -// WARNING: HEventQueue.dispatchEvent() is taking 1156 ms. -// Tcl Message: report_timing_summary: Time (s): cpu = 00:00:22 ; elapsed = 00:00:13 . Memory (MB): peak = 9219.238 ; gain = 533.965 ; free physical = 191 ; free virtual = 7212 -// Elapsed time: 13 seconds -dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress) -// [Engine Memory]: 3,173 MB (+557106kb) [00:12:24] -// Elapsed time: 10 seconds -selectButton(PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK, "-0.708 ns"); // g (PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK) -// PAPropertyPanels.initPanels (Path 1) elapsed time: 0.9s -selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; -0.708 ; 14 ; 4 ; 33 ; storage_generate[2].storage/memory_reg_1/CLKBWRCLK ; registered_output.OUTPUT_DATA_reg[0]/D ; 4.687571 ; 3.345 ; 1.3425714 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "storage_generate[2].storage/memory_reg_1/CLKBWRCLK", 5); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// [GUI Memory]: 187 MB (+2333kb) [00:12:43] -selectCodeEditor("block_memory.vhd", 430, 410); // ad (block_memory.vhd) -typeControlKey((HResource) null, "block_memory.vhd", 'v'); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 7, 412); // ad (block_memory.vhd) -typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd) -typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd) -typeControlKey((HResource) null, "block_memory.vhd", 'v'); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 28, 450); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 28, 450, false, false, false, false, true); // ad (block_memory.vhd) - Double Click -typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 329, 434); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 329, 434, false, false, false, false, true); // ad (block_memory.vhd) - Double Click -typeControlKey((HResource) null, "block_memory.vhd", 'v'); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 25, 432); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 211, 282); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 11, 317); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 117, 333); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 15, 294); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 468, 314); // ad (block_memory.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 12m:50s -// HMemoryUtils.trashcanNow. Engine heap size: 3,205 MB. GUI used memory: 157 MB. Current time: 12/12/23, 3:02:16 PM CET -selectCodeEditor("block_memory.vhd", 238, 366); // ad (block_memory.vhd) -// Elapsed Time for: 'L.f': 12m:54s -// Elapsed time: 25 seconds -selectCodeEditor("block_memory.vhd", 653, 320); // ad (block_memory.vhd) -typeControlKey((HResource) null, "block_memory.vhd", 'v'); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 24, 341); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 623, 320); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 226, 367); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 226, 367, false, false, false, false, true); // ad (block_memory.vhd) - Double Click -selectCodeEditor("block_memory.vhd", 455, 446); // ad (block_memory.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -selectCodeEditor("block_memory.vhd", 233, 430); // ad (block_memory.vhd) -// Elapsed Time for: 'L.f': 13m:38s -// Elapsed Time for: 'L.f': 13m:42s -// HMemoryUtils.trashcanNow. Engine heap size: 3,215 MB. GUI used memory: 118 MB. Current time: 12/12/23, 3:03:51 PM CET -// Elapsed time: 49 seconds -selectCodeEditor("block_memory.vhd", 387, 358); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 280, 450); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 387, 364); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 256, 434); // ad (block_memory.vhd) -// Elapsed time: 19 seconds -selectCodeEditor("block_memory.vhd", 249, 361); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 287, 409); // ad (block_memory.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 14m:58s -// HMemoryUtils.trashcanNow. Engine heap size: 3,253 MB. GUI used memory: 118 MB. Current time: 12/12/23, 3:04:26 PM CET -// Elapsed Time for: 'L.f': 15m:02s -// Elapsed time: 96 seconds -selectCodeEditor("block_memory.vhd", 498, 434); // ad (block_memory.vhd) -selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN) -selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu) -// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS -// TclEventType: RUN_MODIFY -// TclEventType: RUN_RESET -// TclEventType: DESIGN_STALE -// Tcl Message: reset_run synth_1 -// TclEventType: RUN_RESET -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_RESET -// TclEventType: RUN_MODIFY -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Launch Runs"); // f (dialog4) -// TclEventType: DESIGN_STALE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: launch_runs synth_1 -jobs 2 -// Tcl Message: [Tue Dec 12 15:06:02 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log -dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress) -// TclEventType: RUN_STATUS_CHANGE -// Elapsed time: 26 seconds -selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN) -// TclEventType: DESIGN_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 3,244 MB. GUI used memory: 111 MB. Current time: 12/12/23, 3:06:29 PM CET -// TclEventType: TIMING_RESULTS_UNLOAD -// Engine heap size: 3,244 MB. GUI used memory: 111 MB. Current time: 12/12/23, 3:06:29 PM CET -// WARNING: HEventQueue.dispatchEvent() is taking 1220 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: close_design -// TclEventType: DESIGN_CLOSE -dismissDialog("Closing"); // bq (Closing Progress) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 443, 334); // ad (filter.vhd) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 596, 304); // ad (block_memory.vhd) -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// Elapsed time: 93 seconds -selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a (RDIResource.BaseDialog_CANCEL) -dismissDialog("Synthesis Completed"); // Q.a (dialog5) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Edit Timing Constraints]", 21, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 23, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Tcl Message: open_run synth_1 -name synth_1 -// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1 -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_CNS_STALE -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: FLOORPLAN_MODIFY -// TclEventType: DESIGN_NEW -// HMemoryUtils.trashcanNow. Engine heap size: 3,217 MB. GUI used memory: 86 MB. Current time: 12/12/23, 3:08:22 PM CET -// TclEventType: DESIGN_NEW -// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED -// [Engine Memory]: 4,020 MB (+721675kb) [00:19:33] -// DeviceView Instantiated -// WARNING: HEventQueue.dispatchEvent() is taking 1655 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 9293.262 ; gain = 0.000 ; free physical = 655 ; free virtual = 7005 -// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization -// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9293.262 ; gain = 0.000 ; free physical = 543 ; free virtual = 6962 -// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -// Device view-level: 0.0 -// RouteApi: Init Delay Mediator Swing Worker Finished -// Tcl Message: open_run: Time (s): cpu = 00:00:10 ; elapsed = 00:00:07 . Memory (MB): peak = 9293.262 ; gain = 0.000 ; free physical = 243 ; free virtual = 6826 -// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Timing Summary"); // ag (dialog6) -// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 -// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -// TclEventType: TIMING_RESULTS_STALE -// TclEventType: TIMING_SUMMARY_UPDATED -dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress) -// Elapsed time: 15 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("block_memory.vhd", 452, 250); // ad (block_memory.vhd) -selectTab((HResource) null, (HResource) null, "Sources", 0); // aa -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node -selectCodeEditor("filter.vhd", 197, 303); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 197, 303); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 197, 303); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 38, 347); // ad (filter.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// HMemoryUtils.trashcanNow. Engine heap size: 4,119 MB. GUI used memory: 121 MB. Current time: 12/12/23, 3:09:31 PM CET -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 20m:06s -// Elapsed time: 11 seconds -selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN) -selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu) -// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS -// TclEventType: RUN_MODIFY -// TclEventType: RUN_RESET -// TclEventType: DESIGN_STALE -// TclEventType: RUN_RESET -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_RESET -// TclEventType: RUN_MODIFY -// Tcl Message: reset_run synth_1 -// Elapsed Time for: 'L.f': 20m:10s -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Launch Runs"); // f (dialog7) -// TclEventType: DESIGN_STALE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: launch_runs synth_1 -jobs 2 -// Tcl Message: [Tue Dec 12 15:09:36 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log -// 'i' command handler elapsed time: 3 seconds -dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress) -selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN) -// TclEventType: DESIGN_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 3,323 MB. GUI used memory: 108 MB. Current time: 12/12/23, 3:09:38 PM CET -// TclEventType: TIMING_RESULTS_UNLOAD -// Engine heap size: 3,323 MB. GUI used memory: 109 MB. Current time: 12/12/23, 3:09:38 PM CET -// TclEventType: CURR_DESIGN_SET -// Tcl Message: close_design -// TclEventType: DESIGN_CLOSE -dismissDialog("Closing"); // bq (Closing Progress) -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// Elapsed time: 185 seconds -dismissDialog("Synthesis Completed"); // Q.a (dialog8) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 23, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Tcl Message: open_run synth_1 -name synth_1 -// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1 -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_CNS_STALE -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: FLOORPLAN_MODIFY -// TclEventType: DESIGN_NEW -// HMemoryUtils.trashcanNow. Engine heap size: 3,278 MB. GUI used memory: 90 MB. Current time: 12/12/23, 3:12:56 PM CET -// TclEventType: DESIGN_NEW -// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED -// DeviceView Instantiated -// WARNING: HEventQueue.dispatchEvent() is taking 1372 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.21 ; elapsed = 00:00:00.09 . Memory (MB): peak = 9367.105 ; gain = 0.000 ; free physical = 999 ; free virtual = 7085 -// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization -// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 9367.105 ; gain = 0.000 ; free physical = 878 ; free virtual = 7048 -// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -// [Engine Memory]: 4,339 MB (+123678kb) [00:24:07] -// Device view-level: 0.0 -// RouteApi: Init Delay Mediator Swing Worker Finished -// Tcl Message: open_run: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 9367.105 ; gain = 0.000 ; free physical = 686 ; free virtual = 7020 -// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Timing Summary"); // ag (dialog9) -// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 -// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. -// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -// TclEventType: TIMING_RESULTS_STALE -// TclEventType: TIMING_SUMMARY_UPDATED -dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress) -// Elapsed time: 10 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// [GUI Memory]: 197 MB (+349kb) [00:24:23] -selectCodeEditor("block_memory.vhd", 214, 409); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 320, 315); // ad (block_memory.vhd) -// Elapsed time: 157 seconds -selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ag (PAResourceItoN.MainMenuMgr_FILE, File) -selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // al (PAResourceItoN.MainMenuMgr_PROJECT, Project) -selectMenuItem(PAResourceCommand.PACommandNames_OPEN_EXAMPLE_PROJECT, "Open Example..."); // ao (PAResourceCommand.PACommandNames_OPEN_EXAMPLE_PROJECT, open_example_project_menu) -dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ag (PAResourceItoN.MainMenuMgr_FILE, File) -// Run Command: PAResourceCommand.PACommandNames_OPEN_EXAMPLE_PROJECT -selectButton("NEXT", "Next >"); // JButton (NEXT) -// TclEventType: CED_RESET_GUI_ELEMENT -// Tcl Message: INFO: [Common 17-14] Message 'Vivado 12-4842' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. -// Tcl Message: ERROR: [Common 17-161] Invalid option value '' specified for 'of_objects'. -// TclEventType: CED_RESET_GUI_ELEMENT -// Tcl Message: ERROR: [Common 17-161] Invalid option value '' specified for 'of_objects'. -// TclEventType: CED_RESET_GUI_ELEMENT -dismissDialog("Getting Example Templates"); // bq (Getting Example Templates Progress) -selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, MPSoC Extensible Embedded Platform (Part based)]", 2, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE) -selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, BFT]", 3, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE) -selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, CPU (HDL)]", 4, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE) -selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, CPU (Synthesized)]", 5, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE) -selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, MicroBlaze Design Presets]", 6, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE) -selectTree(PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE, "[XhubDesigns, Xilinx, Wavegen (HDL)]", 7, false); // a (PAResourceItoN.NewProjectWizard_PROJECT_TEMPLATE_TREE) -selectButton(RDIResource.AbstractSearchablePanel_SHOW_SEARCH, "Store_search"); // v (RDIResource.AbstractSearchablePanel_SHOW_SEARCH, Store_search): TRUE -setText("Search Field", "uram"); // OverlayTextField (Search Field) -selectButton("BACK", "< Back"); // JButton (BACK) -selectButton("CANCEL", "Cancel"); // JButton (CANCEL) -// 'h' command handler elapsed time: 26 seconds -dismissDialog("Open Example Project"); // a (dialog10) -selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ag (PAResourceItoN.MainMenuMgr_FILE, File) -selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // al (PAResourceItoN.MainMenuMgr_PROJECT, Project) -selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // al (PAResourceItoN.MainMenuMgr_PROJECT, Project) -selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // al (PAResourceItoN.MainMenuMgr_CHECKPOINT, Checkpoint) -selectMenu(PAResourceItoN.MainMenuMgr_CONSTRAINTS, "Constraints"); // al (PAResourceItoN.MainMenuMgr_CONSTRAINTS, Constraints) -selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // al (PAResourceItoN.MainMenuMgr_IP, IP) -selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // al (PAResourceItoN.MainMenuMgr_TEXT_EDITOR, Text Editor) -selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // al (PAResourceItoN.MainMenuMgr_IMPORT, Import) -selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // al (PAResourceItoN.MainMenuMgr_EXPORT, Export) -selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // al (PAResourceItoN.MainMenuMgr_IMPORT, Import) -selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // al (PAResourceItoN.MainMenuMgr_EXPORT, Export) -selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // al (PAResourceItoN.MainMenuMgr_EXPORT, Export) -selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // al (PAResourceItoN.MainMenuMgr_IMPORT, Import) -selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // al (PAResourceItoN.MainMenuMgr_TEXT_EDITOR, Text Editor) -selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // al (PAResourceItoN.MainMenuMgr_IP, IP) -selectMenu(PAResourceItoN.MainMenuMgr_IMPORT, "Import"); // al (PAResourceItoN.MainMenuMgr_IMPORT, Import) -dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // ag (PAResourceItoN.MainMenuMgr_FILE, File) -// [GUI Memory]: 211 MB (+4344kb) [00:28:04] -// Elapsed time: 228 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_mix.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "block_memory.vhd", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("block_memory.vhd", 7, 225); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 29, 226); // ad (block_memory.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 31m:18s -// HMemoryUtils.trashcanNow. Engine heap size: 4,380 MB. GUI used memory: 128 MB. Current time: 12/12/23, 3:20:46 PM CET -// Elapsed Time for: 'L.f': 31m:22s -// Elapsed time: 28 seconds -selectCodeEditor("block_memory.vhd", 408, 332); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 390, 369); // ad (block_memory.vhd) -// Elapsed time: 21 seconds -selectCodeEditor("block_memory.vhd", 84, 137); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 119, 160); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 84, 176); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 56, 209); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 77, 250); // ad (block_memory.vhd) -// Elapsed time: 31 seconds -selectCodeEditor("block_memory.vhd", 236, 371); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 236, 371, false, false, false, false, true); // ad (block_memory.vhd) - Double Click -selectCodeEditor("block_memory.vhd", 234, 385); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 234, 385, false, false, false, false, true); // ad (block_memory.vhd) - Double Click -// Elapsed time: 21 seconds -selectCodeEditor("block_memory.vhd", 395, 280); // ad (block_memory.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -// Elapsed Time for: 'L.f': 33m:06s -selectTab((HResource) null, (HResource) null, "Sources", 0); // aa -// Elapsed Time for: 'L.f': 33m:10s -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node -// Elapsed time: 22 seconds -selectCodeEditor("filter.vhd", 263, 209); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 389, 380); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 319, 209); // ad (filter.vhd) -// TclEventType: DG_GRAPH_STALE -// TclEventType: FILE_SET_CHANGE -selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN) -selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu) -// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS -// TclEventType: RUN_MODIFY -// TclEventType: RUN_RESET -// TclEventType: DESIGN_STALE -// TclEventType: RUN_RESET -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_RESET -// TclEventType: RUN_MODIFY -// Tcl Message: reset_run synth_1 -// Elapsed Time for: 'L.f': 33m:46s -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Launch Runs"); // f (dialog11) -// Tcl Message: launch_runs synth_1 -jobs 2 -// TclEventType: DESIGN_STALE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: [Tue Dec 12 15:23:15 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log -// 'i' command handler elapsed time: 6 seconds -dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress) -// Elapsed Time for: 'L.f': 33m:52s -// TclEventType: RUN_STATUS_CHANGE -// Elapsed time: 13 seconds -selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN) -// TclEventType: DESIGN_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 3,385 MB. GUI used memory: 120 MB. Current time: 12/12/23, 3:23:29 PM CET -// TclEventType: TIMING_RESULTS_UNLOAD -// Engine heap size: 3,385 MB. GUI used memory: 121 MB. Current time: 12/12/23, 3:23:30 PM CET -// WARNING: HEventQueue.dispatchEvent() is taking 1035 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: close_design -// TclEventType: DESIGN_CLOSE -dismissDialog("Closing"); // bq (Closing Progress) -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// Elapsed time: 143 seconds -dismissDialog("Synthesis Completed"); // Q.a (dialog12) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 23, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Tcl Message: open_run synth_1 -name synth_1 -// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1 -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_CNS_STALE -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: FLOORPLAN_MODIFY -// TclEventType: DESIGN_NEW -// HMemoryUtils.trashcanNow. Engine heap size: 3,348 MB. GUI used memory: 96 MB. Current time: 12/12/23, 3:26:01 PM CET -// TclEventType: DESIGN_NEW -// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED -// DeviceView Instantiated -// WARNING: HEventQueue.dispatchEvent() is taking 1325 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.08 . Memory (MB): peak = 9413.113 ; gain = 0.000 ; free physical = 978 ; free virtual = 6827 -// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization -// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9413.113 ; gain = 0.000 ; free physical = 837 ; free virtual = 6760 -// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -// Device view-level: 0.0 -// RouteApi: Init Delay Mediator Swing Worker Finished -// Tcl Message: open_run: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 9413.113 ; gain = 0.000 ; free physical = 613 ; free virtual = 6672 -// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Timing Summary"); // ag (dialog13) -// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 -// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -// TclEventType: TIMING_RESULTS_STALE -// TclEventType: TIMING_SUMMARY_UPDATED -dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress) -selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN) -selectMenuItem(PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION, "Run Implementation"); // ao (PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION, run_implementation_menu) -// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Launch Runs"); // f (dialog14) -// Tcl Message: launch_runs impl_1 -jobs 2 -// Tcl Message: INFO: [Timing 38-480] Writing timing data to binary archive. -// Tcl Message: Write ShapeDB Complete: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.03 . Memory (MB): peak = 9413.113 ; gain = 0.000 ; free physical = 451 ; free virtual = 6573 -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_MODIFY -// Tcl Message: [Tue Dec 12 15:26:16 2023] Launched impl_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/impl_1/runme.log -// 'a' command handler elapsed time: 3 seconds -dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress) -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// WARNING: HSwingWorker (Update Runs Swing Worker) is taking 1140 ms. Increasing delay to 3000 ms. -// TclEventType: RUN_STEP_COMPLETED -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// WARNING: HEventQueue.dispatchEvent() is taking 1076 ms. -// WARNING: HTimer (ExpRunMgr Pending Runs Timer) is taking 447ms to process. Increasing delay to 3000 ms. -// Elapsed time: 275 seconds -selectTab((HResource) null, (HResource) null, "Sources", 0); // aa -collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design]", 35); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design]", 35); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design, Report Timing Summary]", 38, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Tcl Message: open_run impl_1 -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: POWER_CNS_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: FLOORPLAN_MODIFY -// TclEventType: DESIGN_NEW -// HMemoryUtils.trashcanNow. Engine heap size: 4,139 MB. GUI used memory: 134 MB. Current time: 12/12/23, 3:31:09 PM CET -// TclEventType: DESIGN_NEW -// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED -// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 2.2s -// DeviceView Instantiated -// WARNING: HEventQueue.dispatchEvent() is taking 2773 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.06 . Memory (MB): peak = 9421.082 ; gain = 0.000 ; free physical = 203 ; free virtual = 6584 -// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization -// Tcl Message: Read ShapeDB Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.06 . Memory (MB): peak = 9451.051 ; gain = 2.000 ; free physical = 210 ; free virtual = 6521 -// Tcl Message: INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete. INFO: [Designutils 20-5722] Start Reading Physical Databases. -// Tcl Message: Reading placement. -// Tcl Message: Read Netlist Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 138 ; free virtual = 6422 -// Tcl Message: Reading placer database... -// Tcl Message: Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 138 ; free virtual = 6422 Read PlaceDB: Time (s): cpu = 00:00:00.55 ; elapsed = 00:00:00.57 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 131 ; free virtual = 6417 Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 131 ; free virtual = 6417 -// Tcl Message: Reading routing. -// Tcl Message: Read RouteStorage: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.5 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 155 ; free virtual = 6406 Read Physdb Files: Time (s): cpu = 00:00:00.92 ; elapsed = 00:00:01 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 156 ; free virtual = 6407 -// Tcl Message: Restored from archive | CPU: 1.120000 secs | Memory: 9.701759 MB | -// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00.92 ; elapsed = 00:00:01 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 156 ; free virtual = 6407 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9618.773 ; gain = 0.000 ; free physical = 157 ; free virtual = 6407 -// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -// Device view-level: 0.0 -// RouteApi: Init Delay Mediator Swing Worker Finished -// Tcl Message: open_run: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 9817.012 ; gain = 395.930 ; free physical = 287 ; free virtual = 6485 -// TclEventType: DRC_ADDED -// TclEventType: METHODOLOGY_ADDED -// TclEventType: POWER_UPDATED -// [GUI Memory]: 222 MB (+700kb) [00:42:23] -// WARNING: HEventQueue.dispatchEvent() is taking 2646 ms. -// TclEventType: TIMING_SUMMARY_UPDATED -// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY -// Elapsed time: 15 seconds -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Timing Summary"); // ag (dialog15) -// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 -// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -// TclEventType: TIMING_RESULTS_STALE -// TclEventType: TIMING_SUMMARY_UPDATED -dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress) -// [GUI Memory]: 237 MB (+3418kb) [00:44:39] -// Elapsed time: 238 seconds -selectTab((HResource) null, (HResource) null, "Sources", 0); // aa -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger -selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // al (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), final : jenkins_final(behavioral) (jenkins_final.vhd)]", 4, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -// [GUI Memory]: 253 MB (+4266kb) [00:46:42] -selectCodeEditor("jenkins_final.vhd", 22, 10); // ad (jenkins_final.vhd) -typeControlKey((HResource) null, "jenkins_final.vhd", 'c'); // ad (jenkins_final.vhd) -// Elapsed time: 11 seconds -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -selectCodeEditor("jenkins_mix.vhd", 131, 13); // ad (jenkins_mix.vhd) -typeControlKey((HResource) null, "jenkins_mix.vhd", 'c'); // ad (jenkins_mix.vhd) -// Elapsed time: 87 seconds -selectCodeEditor("jenkins_mix.vhd", 62, 296); // ad (jenkins_mix.vhd) -typeControlKey((HResource) null, "jenkins_mix.vhd", 'c'); // ad (jenkins_mix.vhd) -// Elapsed time: 244 seconds -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node -selectCodeEditor("filter.vhd", 93, 8); // ad (filter.vhd) -typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd) -// Elapsed time: 46 seconds -selectCodeEditor("filter.vhd", 128, 295); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 216, 363); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 84, 158); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 84, 158, false, false, false, false, true); // ad (filter.vhd) - Double Click -typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd) -// Elapsed time: 37 seconds -selectCodeEditor("filter.vhd", 270, 348); // ad (filter.vhd) -// Elapsed time: 10 seconds -typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd) -typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd) -typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd) -typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd) -// Elapsed time: 32 seconds -selectCodeEditor("filter.vhd", 68, 450); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 68, 450, false, false, false, false, true); // ad (filter.vhd) - Double Click -// Elapsed time: 16 seconds -selectCodeEditor("filter.vhd", 155, 283); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 267, 246); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 37, 233); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 200, 328); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 87, 161); // ad (filter.vhd) -selectCodeEditor("filter.vhd", 87, 161, false, false, false, false, true); // ad (filter.vhd) - Double Click -typeControlKey((HResource) null, "filter.vhd", 'c'); // ad (filter.vhd) -// Elapsed time: 121 seconds -expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 9, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), storage_generate[0].storage : block_memory(behavioral) (block_memory.vhd)]", 9, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click -selectCodeEditor("block_memory.vhd", 138, 12); // ad (block_memory.vhd) -typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd) -// HMemoryUtils.trashcanNow. Engine heap size: 4,546 MB. GUI used memory: 165 MB. Current time: 12/12/23, 3:46:36 PM CET -selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 486, 183); // ad (block_memory.vhd) -// Elapsed time: 17 seconds -selectCodeEditor("block_memory.vhd", 359, 312); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 21, 297); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 128, 257); // ad (block_memory.vhd) -selectCodeEditor("block_memory.vhd", 128, 257, false, false, false, false, true); // ad (block_memory.vhd) - Double Click -selectCodeEditor("block_memory.vhd", 23, 265); // ad (block_memory.vhd) -typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd) -// Elapsed time: 111 seconds -selectCodeEditor("block_memory.vhd", 432, 321); // ad (block_memory.vhd) -typeControlKey((HResource) null, "block_memory.vhd", 'c'); // ad (block_memory.vhd) -// Elapsed time: 468 seconds -selectTab((HResource) null, (HResource) null, "Power", 7); // aa -selectTab((HResource) null, (HResource) null, "Methodology", 6); // aa -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation]", 33, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node -// TclEventType: CURR_DESIGN_SET -// PAPropertyPanels.initPanels (block_memory.vhd) elapsed time: 0.5s -// Run Command: PAResourceCommand.PACommandNames_RUN_NOISE -// WARNING: HEventQueue.dispatchEvent() is taking 1855 ms. -// Tcl Message: current_design synth_1 -dismissDialog("Report Noise"); // a (dialog16) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis]", 18, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true, false, false, false, false, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Double Click - Node -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Node -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation]", 9, true, false, false, false, false, true); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) - Double Click - Node -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // ac (PAResourceItoN.MainToolbarMgr_RUN) -selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ao (PAResourceCommand.PACommandNames_RUN_SYNTHESIS, run_synthesis_menu) -// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS -selectButton("OptionPane.button", "OK"); // JButton (OptionPane.button) -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -// TclEventType: RUN_MODIFY -dismissDialog("Run Synthesis"); // u (dialog17) -// TclEventType: DESIGN_STALE -// TclEventType: RUN_RESET -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_RESET -// TclEventType: DESIGN_STALE -// TclEventType: RUN_RESET -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: reset_run synth_1 -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_RESET -// TclEventType: RUN_MODIFY -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Launch Runs"); // f (dialog18) -// TclEventType: DESIGN_STALE -// TclEventType: RUN_LAUNCH -// TclEventType: RUN_MODIFY -// TclEventType: RUN_STATUS_CHANGE -// Tcl Message: launch_runs synth_1 -jobs 2 -// Tcl Message: [Tue Dec 12 15:57:17 2023] Launched synth_1... Run output will be captured here: /home/veronikaplevacova/Plocha/PCS2/synth/filter_vivado.runs/synth_1/runme.log -// 'i' command handler elapsed time: 4 seconds -dismissDialog("Starting Design Runs"); // bq (Starting Design Runs Progress) -selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN) -// TclEventType: DESIGN_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 3,825 MB. GUI used memory: 139 MB. Current time: 12/12/23, 3:57:19 PM CET -// TclEventType: TIMING_RESULTS_UNLOAD -// Engine heap size: 3,760 MB. GUI used memory: 140 MB. Current time: 12/12/23, 3:57:19 PM CET -// WARNING: HEventQueue.dispatchEvent() is taking 1763 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: close_design -// TclEventType: DESIGN_CLOSE -dismissDialog("Closing"); // bq (Closing Progress) -// TclEventType: RUN_STATUS_CHANGE -// Elapsed time: 17 seconds -selectButton(PAResourceOtoP.ProjectTab_CLOSE_DESIGN, "Close Design"); // g (PAResourceOtoP.ProjectTab_CLOSE_DESIGN) -// TclEventType: DESIGN_CLOSE -// HMemoryUtils.trashcanNow. Engine heap size: 3,735 MB. GUI used memory: 114 MB. Current time: 12/12/23, 3:57:39 PM CET -// TclEventType: TIMING_RESULTS_UNLOAD -// Engine heap size: 3,735 MB. GUI used memory: 114 MB. Current time: 12/12/23, 3:57:40 PM CET -// WARNING: HEventQueue.dispatchEvent() is taking 1121 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: close_design -// TclEventType: DESIGN_CLOSE -dismissDialog("Closing"); // bq (Closing Progress) -// Elapsed time: 16 seconds -collapseTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 19); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -expandTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 19); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// WARNING: HEventQueue.dispatchEvent() is taking 1046 ms. -// TclEventType: RUN_COMPLETED -// TclEventType: RUN_STATUS_CHANGE -// TclEventType: RUN_STEP_COMPLETED -// Elapsed time: 87 seconds -selectRadioButton(PAResourceCommand.PACommandNames_REPORTS_WINDOW, "View Reports"); // a (PAResourceCommand.PACommandNames_REPORTS_WINDOW) -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -// Run Command: PAResourceCommand.PACommandNames_REPORTS_WINDOW -dismissDialog("Synthesis Completed"); // Q.a (dialog19) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Utilization]", 28, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Tcl Message: open_run synth_1 -name synth_1 -// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7k160tffv676-1 -// TclEventType: READ_XDC_FILE_START -// TclEventType: POWER_CNS_STALE -// TclEventType: POWER_REPORT_STALE -// TclEventType: SDC_CONSTRAINT_ADD -// TclEventType: READ_XDC_FILE_END -// TclEventType: FLOORPLAN_MODIFY -// TclEventType: DESIGN_NEW -// HMemoryUtils.trashcanNow. Engine heap size: 3,701 MB. GUI used memory: 90 MB. Current time: 12/12/23, 3:59:35 PM CET -// TclEventType: DESIGN_NEW -// Xgd.load filename: /tools/Xilinx/Vivado/2023.2/data/parts/xilinx/kintex7/devint/kintex7/xc7k160t/xc7k160t.xgd; ZipEntry: xc7k160t_detail.xgd elapsed time: 0.8s -// DeviceModel: Load Xgds SwingWorker Join Forever elapsed time: 0.9s -// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED -// RouteApi::loadEngineXgdAndInitRouteStorage elapsed time: 1.3s -// DeviceView Instantiated -// WARNING: HEventQueue.dispatchEvent() is taking 1775 ms. -// TclEventType: CURR_DESIGN_SET -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.07 . Memory (MB): peak = 9817.012 ; gain = 0.000 ; free physical = 824 ; free virtual = 6097 -// Tcl Message: INFO: [Netlist 29-17] Analyzing 781 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.2 INFO: [Project 1-570] Preparing netlist for logic optimization -// Tcl Message: Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: Finished Parsing XDC File [/home/veronikaplevacova/Plocha/PCS2/synth/filter.xdc] -// Tcl Message: INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9817.012 ; gain = 0.000 ; free physical = 672 ; free virtual = 6022 -// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -// Device view-level: 0.0 -// RouteApi: Init Delay Mediator Swing Worker Finished -// Tcl Message: open_run: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 9817.012 ; gain = 0.000 ; free physical = 386 ; free virtual = 5942 -// Run Command: PAResourceCommand.PACommandNames_RESOURCE_UTILIZATION -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Utilization"); // a (dialog20) -// TclEventType: UTILIZATION_RESULT_GENERATED -// Tcl Message: report_utilization -name utilization_1 -// HMemoryUtils.trashcanNow. Engine heap size: 4,021 MB. GUI used memory: 130 MB. Current time: 12/12/23, 3:59:42 PM CET -dismissDialog("Report Utilization"); // bq (Report Utilization Progress) -selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "filter", 0, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Node -collapseTreeTable((HResource) null, "filter ; 3787 ; 4795 ; 34", 0); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) -// Elapsed time: 26 seconds -selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Node -expandTreeTable((HResource) null, "filter ; 3787 ; 4795 ; 34", 0); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) -selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true, false, false, false, false, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Double Click - Node -selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true, false, false, false, true, false); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Popup Trigger - Node -selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Node -selectTreeTable("PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)", "filter ; 3787 ; 4795 ; 34", 0, "4795", 2, true); // w (PAResourceTtoZ.UtilizationHierViewTreeTablePanel_TABLE(Hierarchy)) - Node -// Elapsed time: 48 seconds -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Clock Networks]", 25, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Run Command: PAResourceCommand.PACommandNames_REPORT_CLOCK_NETWORKS -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Clock Networks"); // a (dialog21) -// Tcl Message: report_clock_networks -name {network_1} -// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. -// TclEventType: TIMING_CLOCK_NETWORKS_UPDATED -dismissDialog("Report Clock Networks"); // bq (Report Clock Networks Progress) -expandTree(PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE, "[top objects, CLK (250.00 MHz) (drives 5028 loads)]", 0); // c.b (PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE) -expandTree(PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE, "[top objects, CLK (250.00 MHz) (drives 5028 loads), CLK]", 1); // c.b (PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE) -selectTree(PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE, "[top objects, CLK (250.00 MHz) (drives 5028 loads)]", 0); // c.b (PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE) -collapseTree(PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE, "[top objects, CLK (250.00 MHz) (drives 5028 loads)]", 0); // c.b (PAResourceAtoD.ClockNetworksReportView_CLOCK_NETWORK_TREE) -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 24, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Timing Summary"); // ag (dialog22) -// TclEventType: TIMING_SUMMARY_UPDATED -// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1 -// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -dismissDialog("Report Timing Summary"); // bq (Report Timing Summary Progress) -// Elapsed time: 85 seconds -selectButton(PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK, "0.572 ns"); // g (PAResourceAtoD.DesignTimingSumSectionPanel_WORST_NEGATIVE_SLACK) -// PAPropertyPanels.initPanels (Path 1) elapsed time: 0.5s -// HMemoryUtils.trashcanNow. Engine heap size: 3,724 MB. GUI used memory: 147 MB. Current time: 12/12/23, 4:02:55 PM CET -selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) -selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) -selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7, false, false, false, false, true); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) - Double Click -selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7, false, false, false, true, false); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) - Popup Trigger -selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7, false, false, false, true, false); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) - Popup Trigger -selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; 0.572 ; 11 ; 4 ; 6 ; registered_input.in_key_reg[3]/C ; hash_generate[2].hash/mix_pipeline[0].mix/s_reg[1][a][31]/D ; 3.4080002 ; 1.9660001 ; 1.4419999 ; 4.0 ; CLK ; CLK ; ; 0.03535534", 0, "3.4080002", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) -selectTableHeader(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Total Delay", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) -selectTableHeader(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Total Delay", 7); // e.b (PAResourceTtoZ.TimingItemFlatTablePanel_TABLE) -// Elapsed time: 82 seconds -selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Clock Interaction]", 26, false); // f (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) -// Run Command: PAResourceCommand.PACommandNames_REPORT_CLOCK_INTERACTION -selectButton(RDIResource.BaseDialog_OK, "OK"); // a (RDIResource.BaseDialog_OK) -dismissDialog("Report Clock Interaction"); // R (dialog23) -// TclEventType: CLOCK_INTERACTION_UPDATED -// Tcl Message: report_clock_interaction -delay_type min_max -significant_digits 3 -name timing_2 -// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs -dismissDialog("Report Clock Interaction"); // bq (Report Clock Interaction Progress) -// Elapsed time: 76 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_mix.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectCodeEditor("jenkins_mix.vhd", 178, 207); // ad (jenkins_mix.vhd) -selectCodeEditor("jenkins_mix.vhd", 253, 218); // ad (jenkins_mix.vhd) -// Elapsed time: 24 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_final.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -// Elapsed time: 27 seconds -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_final.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_final.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_hash.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_final.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "jenkins_mix.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) -selectTab((HResource) null, (HResource) null, "Sources", 0); // aa -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd), hash_generate[0].hash : jenkins_hash(behavioral) (jenkins_hash.vhd), mix_pipeline[0].mix : jenkins_mix(behavioral) (jenkins_mix.vhd)]", 5, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node -selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, filter(structural) (filter.vhd)]", 2, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node -// Elapsed time: 10 seconds -selectCodeEditor("filter.vhd", 217, 92); // ad (filter.vhd)